Tutorial Programare FPGA

8
Programarea FPGA-ului folosind Xilinix ISE Treceți în modul implementare apasând butonul Implementation. Selectați modulul top-level din ierarhia proiectului și rulați procesul Generate Programming File, aflat în lista de procese, pentru a genera fișierul necesar programării FPGA-ului. Trebuie să aveți definit un fișier de constrângeri care să facă legătura între porturile modulului top-level și pinii FPGA-ului. Urmăriți tutorialul de asignare a pinilor de IO pentru a genera acest fișier dacă el nu a fost creat deja.

description

Un tutorial de prigramare a FPGA-urilor.

Transcript of Tutorial Programare FPGA

Programarea FPGA-ului folosind Xilinix ISE

Trecei n modul implementare apasnd butonulImplementation.

Selectai modulultop-leveldin ierarhia proiectului i rulai procesulGenerate Programming File, aflat n lista de procese, pentru a genera fiierul necesar programrii FPGA-ului. Trebuie s avei definit un fiier de constrngeri care s fac legtura ntre porturile modulului top-level i pinii FPGA-ului. Urmriitutorialul de asignare a pinilor de IOpentru a genera acest fiier dac el nu a fost creat deja.

Rulai procesulManage Configuration Project (iMPACT), aflat n lista de procese sub nodulConfigure Target Device, pentru a porni aplicaia de programare a FPGA-ului.

Deschidei fereastraBoundary Scanprindublu-click.

Faceiclick-dreaptan fereastra deschis i selectai opiuneaInitialize Chainpentru a iniia conexiunea cu placa de dezvoltare.

Vei fi ntrebai dac dorii s selectai fiierele de configurare pentru chip-urile de pe plac (printre care de afl i FPGA-ul). Apsai butonulYes.

Primul fiier de configurare este cel pentru chip-ul FPGA. Din directorul proiectului selectai fiierul de programare (extensia .bit) generat anterior.

Vei fi intrebai dac dorii s ataati o memorie PROM la FPGA folosind SPI sau BPI. Apsai butonulNo.

Al doilea fiier de configurare este pentru memoria PROM. Apsai butonulBypassdeoarece nu dorim modificarea acestei memorii.

Al treilea fiier de configurare este pentru chip-ul CPLD. Apsai butonulBypassdeoarece nu dorim configurarea acestui chip.

Ultima fereastr ne permite modificarea unor opiuni ale procesului de programare pentru cele trei chip-uri. Apsai butonulOkdeoarece nu este necesar modificarea opiunilor selectate implicit.

Facei click dreapta pe primul chipxc3s500e(FPGA-ul) i selectai opiuneaProgrampentru a ncepe procesul de programare a plcii.

Vei primi mesajulProgram Succeededdac procesul de programare a reuit. n acest moment placa este programat, iar LED-ul de programare (portocaliu) se va aprinde.

Setai chip-ulxc3s500eca int implicit a programrilor ulterioare fcndclick-dreaptape el i selectnd opiuneaSet Target Device.

nchidei aplicaia de programare a FPGA-ului i salvai configurrile fcute, ntr-un fiier care are acelai nume cu proiectul Xilinx (fr extensie).

O dat salvate configurrile aplicaiei, programarea ulterioar a plcii pentru proiectul curent se face cu procesulConfigure Target Devicedin Xilinx. Configuraia curent a plcii poate fi tears apsnd butonulPROGde pe plac. Va trebui s urmrii aprinderea LED-ului de programare a plcii (portocaliu) pentru a tii cnd placa a fost reprogramat, deoarece aplicaia nu ofer nici un fel indicator n acest sens.

Software-ul Xilinx ISE poate fi downloadat de pe urmatoarea adresa:http://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/design-tools.html