51889446 Proiectarea Unui Procesor in Limbajul Verilog

download 51889446 Proiectarea Unui Procesor in Limbajul Verilog

of 12

Transcript of 51889446 Proiectarea Unui Procesor in Limbajul Verilog

Ministerul Educaiei si Tineretului al R. Moldova Universitatea Tehnica a Moldovei Catedra Materiale i Dispozitive Semiconductoare

PROIECT de cursSisteme Electronice Programabile

TEMA: Proiectarea unui procesor n limbajul Verilog

A efectuat:

st. Gr. ME-071 Banaru Alexandru

A verificat:

Lector superior Bragarenco Andrei

*Chiinu 2010* Cuprins: Introducere..............................................................................3 2 . Date teoretice.....................................................................3 2.1. Procesorul Elementar......................................................3 2.2. Modulul CROM..............................................................4 2.3.Modulul RALU.................................................................5 3. Proiectare i implementare................................................6 3.1. Parametrii..................................................................6 3.2. RALU..........................................................................7 3.3. CROM........................................................................8 3.4. Procesorul..................................................................10 3.5. Modulul de testare....................................................10 3.6. Prorgamul de test a procesorului............................11 4. Rezultatele experimentale.................................................12 Concluzii.................................................................................12

2

Introduceren prezent dezvoltarea tehnico-tiinific se bazeaz pe progresele electronicii digitale de calcul i procesare a datelor i mai mult dect att, e necesar din ce n ce mai mult performan n acest . Elaborarea unui nou procesor fr unelte performante ar fi foarte anevoioas, din acest motiv, n anul 1985, a fost elaborat limbajul descriptiv Verilog, ce permite descrierea comportamentului i/sau arhitecturii unui sistem numeric. n acest program urmeaz s proiectm un procesor i s-l simulm.

2 . Date teoretice 2.1. Procesorul ElementarDefiniii de baz : Un procesor este un SO-3 la care unul din automate este de tip RALU iar cellalt ndeplinete funcia de automat de control. Un procesor elementar, PE, este un procesor al crui automat de control este strict iniial Vom numi microinstruciuni elementele produsului Y x Q ale unui automat de control Un procesor elementar va fi format, conform definiiilor anterioare, dintr-un circuit de tip RALU i un automat de control strict iniial CROM. Acest procesor se va iniializa prin resetare i va executa o singur funcie, cea definit de secvena de comand pentru care a fost proiectat automatul de control. Altfel spus, PE este procesorul cu o singur instruciune pe care o interpreteaz continuu.

Figura 1 .Procesor Elementar Fie PE din figura 1, format din CR0M, n calitate de automat de control, definit de urmtorul format al microinstruciunii pe care o genereaz: ::= ::= READ | WRITE | ::= JMP | CJMP | ::= CR | WAITJR. | WAIT.W | ::= i RALU1 definit prin urmtoarea structur a comenzii:3

::=