listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE....

188
LISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE Unele dintre aceste lucrări (v. Par. A, B, C prezentate în continuare) sunt disponibile online la adresele: https://www.researchgate.net/profile/Lucian_Vintan respectiv http://webspace.ulbsibiu.ro/lucian.vintan/html/#10 . A. CĂRŢI DE SPECIALITATE 1. VINŢAN N. LUCIAN Exploatarea paralelismului în microprocesoarele avansate, Editura Universității "Lucian Blaga" din Sibiu, ISBN 973-9280-00-5, Sibiu, 1996 (150 pg.) - Biblioteca Universității “Lucian Blaga” din Sibiu (ULBS) cota 39.679 (25 ex. intern Bibl. ULBS + 15 ex. schimb inter-bibliotecar) 2. VINŢAN N. LUCIAN Metode de evaluare și optimizare în arhitecturile paralele de tip I.L.P. , Editura Universității "L. Blaga" din Sibiu, ISBN 973-9280-67-6, Sibiu, 1997 (227 pg), Biblioteca ULBS cota 40.905 (20 ex. intern Bibl. ULBS + 15 ex. schimb inter- bibliotecar) 3. VINŢAN LUCIAN, FLOREA ADRIAN – Sisteme cu microprocesoare - aplicații , Editura Universității "L. Blaga" din Sibiu, ISBN 973-9410-46-4, Sibiu, 1999 (245 pg.), Bibl. ULBS cota 43.800 (15 ex. intern Bibl. ULBS + 15 ex. schimb inter-bibliotecar) 4. VINŢAN N. LUCIAN, FLOREA ADRIAN – Microarhitecturi de procesare a informaţiei , Editura Tehnică, Bucureşti, ISBN 973-31-1551-7, 2000 (312 pg.); cota Biblioteca ULBS 45.797 (16 schimb inter- bibliotecar +14 intern Bibl.ULBS) 5. VINŢAN N. LUCIAN – Arhitecturi de procesoare cu paralelism la nivelul instrucţiunilor, Editura Academiei Române, Bucureşti, ISBN 973-27- 0734-8, 2000 (264 pagini), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Carte.pdf , https://www.researchgate.net/publication/242316158_Arhitecturi_ de_procesoare_cu_paralelism_la_nivelul_instructiunilor . Carte nominalizată la Premiile Academiei Române pentru anul 2000; Bibl. ULBS - cota 45.351 (15 ex. la Biblioteca ULBS); cota 1

Transcript of listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE....

Page 1: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

LISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE

Unele dintre aceste lucrări (v. Par. A, B, C prezentate în continuare) sunt disponibile online la adresele: https://www.researchgate.net/profile/Lucian_Vintan respectiv http://webspace.ulbsibiu.ro/lucian.vintan/html/#10.

A. CĂRŢI DE SPECIALITATE

1. VINŢAN N. LUCIAN – Exploatarea paralelismului în microprocesoarele avansate, Editura Universității "Lucian Blaga" din Sibiu, ISBN 973-9280-00-5, Sibiu, 1996 (150 pg.) - Biblioteca Universității “Lucian Blaga” din Sibiu (ULBS) cota 39.679 (25 ex. intern Bibl. ULBS + 15 ex. schimb inter-bibliotecar)

2. VINŢAN N. LUCIAN – Metode de evaluare și optimizare în arhitecturile paralele de tip I.L.P., Editura Universității "L. Blaga" din Sibiu, ISBN 973-9280-67-6, Sibiu, 1997 (227 pg), Biblioteca ULBS cota 40.905 (20 ex. intern Bibl. ULBS + 15 ex. schimb inter-bibliotecar)

3. VINŢAN LUCIAN, FLOREA ADRIAN – Sisteme cu microprocesoare - aplicații, Editura Universității "L. Blaga" din Sibiu, ISBN 973-9410-46-4, Sibiu, 1999 (245 pg.), Bibl. ULBS cota 43.800 (15 ex. intern Bibl. ULBS + 15 ex. schimb inter-bibliotecar)

4. VINŢAN N. LUCIAN, FLOREA ADRIAN – Microarhitecturi de procesare a informaţiei, Editura Tehnică, Bucureşti, ISBN 973-31-1551-7, 2000 (312 pg.); cota Biblioteca ULBS 45.797 (16 schimb inter-bibliotecar +14 intern Bibl.ULBS)

5. VINŢAN N. LUCIAN – Arhitecturi de procesoare cu paralelism la nivelul instrucțiunilor, Editura Academiei Române, Bucureşti, ISBN 973-27-0734-8, 2000 (264 pagini), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Carte.pdf, https://www.researchgate.net/publication/242316158_Arhitecturi_de_procesoare_cu_paralelism_la_nivelul_instructiunilor. Carte nominalizată la Premiile Academiei Române pentru anul 2000; Bibl. ULBS - cota 45.351 (15 ex. la Biblioteca ULBS); cota Biblioteca “Astra” Sibiu: 504925. “...această carte care abordează conceptele moderne de arhitectură ale microprocesoarelor este oportună şi necesară. [...] Ea este prima lucrare scrisă în limba română care îmbină creativ fundamentele arhitecturilor moderne de microprocesor cu evaluările de tip cantitativ obţinute prin simulări. [...] Această carte este o cercetare bibliografică la zi privind aspectele actuale ale arhitecturii microprocesoarelor întreţesută cu multe completări şi contribuţii originale, rodul unei munci a autorului în acest domeniu de peste un deceniu.” – Prof. univ. dr. ing. Gh. Toacşe, 1999, Prefaţa cărţii

6. VINŢAN N. LUCIAN – Predicție și speculație în microprocesoarele avansate, Editura Matrix Rom, Bucureşti, ISBN 973-685-497-3, 2002 (89 pg.), v. http://www.matrixrom.ro/romanian/editura/domenii/cuprins.php?cuprins=MP30; Bibl. ULBS - cota 47.697 (10 ex. la Biblioteca ULBS + 10 schimb inter-bibliotecar). A fost una dintre cele 3 cărți tehnice nominalizate la Premiile Asociației Editorilor din România pentru anul 2003.

1

Page 2: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

7. VINŢAN N. LUCIAN – Organizarea și proiectarea microarhitecturilor. Note de curs, Editura Alma Mater, Sibiu, 2003 (>300 pg.); in format PDF, versiune revazuta si adaugita, la URL: http://webspace.ulbsibiu.ro/lucian.vintan/html/Organizarea.pdf (Organizarea.pdf)

8. FLOREA ADRIAN, VINŢAN N. LUCIAN – Simularea şi optimizarea arhitecturilor de calcul în aplicaţii practice, Editura Matrix Rom, Bucureşti, ISBN 973-685-605-4, 2003 (443 pg. + CD atașat), v. http://www.matrixrom.ro/romanian/editura/domenii/cuprins.php?cuprins=AC20; Bibl. ULBS - cota 48.351 (4 ex. la Biblioteca ULBS + 4 ex. schimb inter-bibliotecar). A obţinut Premiul “Tudor Tănăsescu” al Academiei Române pe anul 2003 (decernat la 23 decembrie 2005).

9. VINŢAN N. LUCIAN – Prediction Techniques in Advanced Computing Architectures (în limba engleză), Matrix Rom Publishing House, Bucharest, ISBN 978-973-755-137-5, 2007 (292 pg.), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Book_2007.pdf; https://www.researchgate.net/publication/228396552_Prediction_Techniques_in_Advanced_Computing_Architectures; 3 ex. Bibl. ULBS + 7 schimb inter-bibliotecar; cota Biblioteca ULBS 52.103; cota Biblioteca „Astra” Sibiu 504924; A se vedea: http://www.matrixrom.ro/romanian/editura/domenii/cuprins.php?cuprins=CA80. “Prof. Vinţan of University “Lucian Blaga” in Sibiu, Romania, paved the way for neural network predictors by his 1999 paper on the use of neural networks in dynamic branch prediction and is one of the leading scientists in this domain. […] Prof. Vinţan is one of the rare European scientists working in the research field of prediction techniques in computer architecture, but aiming also at „cross-fertilisation between computer architecture and other computer science fields”. […] It is the most comprehensive book written so far on prediction techniques in computer science. It will be mandatory as introduction as well as reference book for all researchers working on prediction techniques in computer science.” – Prof. univ. dr. doc. Theo Ungerer (https://www.informatik.uni-augsburg.de/de/lehrstuehle/sik/mitarbeiter/ungerer/), Augsburg University, în Prefaţa cărţii (2007)

10. GELLERT A., VINŢAN L. N., FLOREA A. – A Systematic Approach to Predict Unbiased Branches, ISBN 978-973-739-516-0, “Lucian Blaga” University Press, Sibiu, 2007 (111 pg.); 8 ex. Bibl. ULBS + 10 schimb inter-bibliotecar; cota Biblioteca ULBS 53.048; cota Bibl. „Astra” Sibiu 504927

11. VINȚAN L. N. – Pilule pentru oameni vii, Editura Matrix Rom, București, ISBN 978-606-25-0088-7, 2014 (97 pg.), v. http://www.matrixrom.ro/romanian/editura/domenii/cuprins.php?cuprins=PIA0; 2 ex. Bibl. ULBS cota 37/V64; Bibl. “ASTRA” Sibiu, cota 821.135.1-92 – v. http://www.bjastrasibiu.ro:8180/liberty/opac/search.do?action=topicSearch&topic=author&operator=AND&=vințan&queryTerm=Vin%C5%A3an%2C%20Lucian%20N.&mode=AUTHOR&operator=AND&includeNonPhysicalItems=true&title=Title%20...%20enter%20here&publicationYear=Year%20From&yearTo=Year%20To&catalogAuthors=Author%20...%20enter%20here&mainSubject=Subject%20...%20enter%20here&publisher=Publisher%20...%20enter%20here&series=Series%20...%20enter%20here&limit=Toate&branch=Toate&resourceCollection=Toate&modeRadio=AUTHOR&activeMenuItem=false

12. VINŢAN N. LUCIAN – Fundamente ale arhitecturii microprocesoarelor, Editura Matrix Rom, Bucureşti, ISBN 978-606-25-0276-8, 2016 (547 pg.), v. http://www.matrixrom.ro/romanian/editura/domenii/cuprins.php?cuprins=FA50; v. si

2

Page 3: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

http://www.astr.ro/publicatii/fundamente-ale-arhitecturii-microprocesoarelor; 5 exemplare la Biblioteca ULBS, cota 04/V64 sau 54.476 + 5 schimb inter-bibliotecar. Biblioteca “ASTRA” Sibiu, cota 535878 (1 ex.). „Este un curs foarte bun, acoperind problematica largă a arhitecturii calculatoarelor la un nivel înalt, pe care puțini îl ating.“ – Prof. univ. dr. Sergiu Nedevschi, membru al Academiei Române; “[…] sincere și calde felicitări pentru monumentala carte [...] apreciez la superlativ abordarea riguroasă, de înaltă ținută științifică, a problemelor, atât pe orizontală, cât și pe verticală [...]” - Prof. univ. dr. Mircea Vlăduțiu, U. P. Timișoara, membru de onoare al ASTR

Unele dintre aceste cărți se găsesc la Biblioteca Națíonală a României - BNR (poz. 2,3,4,5,6,8,9,10,11,12) precum și la Biblioteca Academiei Române – BAR (poz. 2,3,4,5,6,8,9,10,11,12):BNRhttp://aleph.bibnat.ro:8991/F/7382SKEI8Q6ULRQ467EQYPBSK4YLV6B2RDQSN8N224TRK515J2-34127?func=find-acc&acc_sequence=000675467respectiv BARhttp://aleph500.biblacad.ro:8991/F/CYEMHG1VN79I7UN77AQ4XN2KAMC7YFN5AAIQVDRD576CXH8LHP-43271?func=find-c&ccl_term=WRD+%3D+%28+vințan+lucian%29&local_base=RAL01&x=49&y=7

Notă: Cărțile al căror titlu a fost bolduit în cadrul Paragrafului A sunt considerate de mine ca fiind cele relevante. Celelalte sunt considerate de importanță secundară (unele dintre acestea au pregătit apariția cărților considerate relevante.)

B. ARTICOLE ȘTIINȚIFICE PUBLICATE ÎN REVISTE DE SPECIALITATE DE CIRCULAȚIE INTERNAȚIONALĂ SAU ÎN CONFERINȚE INTERNAȚIONALE DE SPECIALITATE

Unele dintre aceste lucrări sunt disponibile online la adresa: https://www.researchgate.net/profile/Lucian_Vintan.

1. VINȚAN L. – About a Multiply Algorithm through Multibit Techniques, Proceedings of the 4-th International Conference on Optimization of Electric and Electronic Equipments - OPTIM '94, pp. 269-273, Universitatea "Transilvania" din Brașov, Romania, 12-14 May 1994

2. STEVEN G., VINȚAN L. – Modelling Superscalar Pipelines with Finite State Machines, "Proceedings of the 22nd Euromicro’96 Conference. Beyond 2000: Hardware/Software Design Strategies", September 1996, Prague, Czech Republic, pp. 20-25, IEEE Computer Society Press, Los Alamitos, California, USA, ISBN 0-8186-7703-1, DOI: 10.13140/2.1.4022.5923, Library of Congress Number 96-79894, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Euro1996.pdf (conferință indexată ISI Thomson Proceedings – v. http://apps.isiknowledge.com/; toate articolele (ISI) Thomson WoS ale lui L. Vinţan pot fi gasite in baza de date ISI Thomson Reuters la http://apps.isiknowledge.com/summary.do?product=WOS&search_mode=GeneralSearch&qid=5&SID=Z1G22lb5akf2n2pKPDM&page=1). Cele indexate IEEE Xplore la http://ieeexplore.ieee.org/search/searchresult.jsp?newsearch=true&queryText=vințan. Indexed in Excellence in Research for Australia - ERA Conference. Aceasta este prima lucrare științifică a subsemnatului publicată în străinatate (1996), la o conferință de f. bună calitate, în urma unui stagiu de cercetare științifică de 3 luni, desfășurat la

3

Page 4: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Universitatea din Hertfordshire, Anglia. “Chair” la prezentarea articolului a fost Prof. dr. Antonio Gonzalez, Universitatea Politecnica Catalunia & Director INTEL Research Barcelona.

3. STEVEN G., POTTER R., VINȚAN L. – Investigating the Limits of Fine Grained Parallelism in a Statically Scheduled Superscalar Architecture, in Theoretical Computer Science, Lyon, France, 1997 (acceptata spre publicare)

4. VINȚAN L., STEVEN G.B. – Memory Hierarchy Limitations in Multiple Instruction-Issue Processor Design, "Proceedings of the 23-rd Euromicro Conference. New Frontiers of Information Technology", September 1997, Budapest, Hungary, pp. 252-257, IEEE Computer Society Press, Los Alamitos, California, USA, 1997, ISBN 0-8186-8215-9, Library of Congress Number 97-81043, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/EuroMicro1997.pdf. Indexată ISI Thomson Reuters Web of Science - WoS (prima publicație a subsemnatului indexată în WoS !) ; indexată și Scopus http://www.scopus.com/results/results.url?sort=plf-f&src=s&st1=vințan&st2=&sid=qBbCgR9l7X2Vza2_3H37Mwq%3a40&sot=b&sdt=b&sl=19&s=AUTHOR-NAME%28vințan%29&origin=searchbasic&txGid=qBbCgR9l7X2Vza2_3H37Mwq%3a4; indexed in Excellence in Research for Australia - ERA Conference.

5. STEVEN F. L., POTTER R., STEVEN G. B., VINȚAN L. – Static Data Dependence Collapsing in a High - Performance Superscalar Processor, The 3-rd International Conference on Massively Parallel Computing Systems (MPCS ’98), Colorado Springs, U.S.A., ISBN 0-966-9530-0-2, 6-9 April, 1998, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/MPCS1998.pdf sau https://www.researchgate.net/publication/264683460_STATIC_DATA_DEPENDENCE_COLLAPSING_IN_A_HIGH-_PERFORMANCE_SUPERSCALAR_PROCESSOR. Conference indexed in Excellence in Research for Australia - ERA Conference. DOI: 10.13140/2.1.1409.3442

6. VINȚAN L. – Branch Prediction Investigations into a Parallel Processor, Proceedings of the 6-th International Symposium on Automation Control and Computer Science (SACCS ’98), vol. 2, ISBN 973-9390-42-0, Iasi, Romania, 20-21 noiembrie, 1998 (published by Matrix Rom Publishing House, Bucharest)

7. VINȚAN L. – The Processor – Cache Interface Improvement into a Parallel Processor Environment, Proceedings of the 6-th International Symposium on Automation Control and Computer Science (SACCS ’98), vol. 2, ISBN 973-9390-42-0, Iasi, Romania, 20-21 noiembrie, 1998 (published by Matrix Rom Publishing House, Bucharest)

8. VINȚAN L., ARMAT C. – Improving Processor- Cache Interface into a Superscalar Architecture, Transactions on Automatic Control and Computer Science, Special Issue dedicated to 3rd International Conference on Technical Informatics (CONTI ’98), Volume 43 (57), No. 4 of 4, ISSN 1224-600X, University "Politehnica" of Timisoara, Romania, 1998

9. ARMAT C., VINȚAN L. – Some Investigations about Selective Victim Caching into a Superscalar Environment, Transactions on Automatic Control and Computer Science, Special Issue dedicated to 3rd International Conference on Technical Informatics (CONTI ’98), Volume 43 (57), No 4 of 4, ISSN 1224-600X, University "Politehnica" of Timisoara, Romania, 1998

4

Page 5: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

10. VINȚAN L., ARMAT C., STEVEN G. – The Impact of Cache Organisation on the Instruction Issue Rate of a Superscalar Processor, Proceedings of Euromicro 7-th Workshop on Parallel and Distributed Systems, pp. 58-65, ISBN 0-7695-0059-5, Funchal, Portugal, 3rd – 5th February, IEEE Computer Society Press, 1999, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Euro1999.pdf (indexată ISI Thomson Reuters Web of Science); indexed in Excellence in Research for Australia - ERA Conference

11. VINȚAN L. – Towards a High Performance Neural Branch Predictor, Proceedings of the International Joint Conference on Neural Networks - IJCNN ’99 (CD-ROM, ISBN 0-7803-5532-6; Abstract in IJCNN’99 Book of Summaries, art. 2106), pp. 868 – 873, vol. 2, Washington DC, USA, IEEE, 10-16 July 1999, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/USA.pdf. Digital Object Identifier: 10.1109/IJCNN.1999.831066. Multiplu citată în lucrări științifice – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Citari_B11.docx, inclusiv articole indexate ISI Thomson Reuters. Conferință acreditată IEE INSPEC; peste 70 de citări GoogleScholar ale acestui articol, în lucrări științifice publicate ale unor autori din străinătate, până in anul 2019 – v. mai jos, paragraful de citări. A se vedea și http://scholar.google.com/scholar?q=author%3Al-vințan; indexată Scopus http://www.scopus.com/results/results.url?sort=plf-f&src=s&st1=vințan&st2=&sid=qBbCgR9l7X2Vza2_3H37Mwq%3a40&sot=b&sdt=b&sl=19&s=AUTHOR-NAME%28vințan%29&origin=searchbasic&txGid=qBbCgR9l7X2Vza2_3H37Mwq%3a4; indexed in Excellence in Research for Australia - ERA Conference. Conferinta de tip A in Computer Science Conference Rank - http://lipn.univ-paris13.fr/~bennani/CSRank.html. Conferința internaționala de top în domeniul de abilitare – v. http://www.cnatdcu-c15.org/ si http://portal.core.edu.au/conf-ranks/ (A=18%, B=26%; IJCNN este clasificată în cat. A la 07.12.2018.) Acest articol a introdus, în premieră mondială, ideea de predictor neuronal de branch -uri ( instrucțiuni de salt condiționat) implementat într-un procesor pipeline superscalar (v. http://webspace.ulbsibiu.ro/lucian.vintan/html/#6 ) . Scriam în acest articol: „At this time, our intuition is that a simplified neural network predictor could be designed within the timing restraints of a superscalar processor. [...] the cost would be far less than one of Two Level Adaptive predictors and it may even be possible to implement multiple cut-down neural network predictors, associated which each branch.” Ideea predicției neuronale a instrucțiunilor de salt condiționat a fost ulterior implementată în multe milioane de microprocesoarele comerciale avansate precum Oracle Sparc T4-4 (2011), AMD Bulldozer (2011), AMD Piledriver (2012), AMD Bobcat/Jaguar (2014), Samsung Exynos M1 Processor - Mongoose (2016, quadcore, ISA ARM v8.0, 64/32 bits; echipeaza telefoanele mobile inteligente S7, S7 Edges, etc. - a se vedea articolul disponibil online la https://weekly-geekly.github.io/articles/397075/index.html - citare contribuție inițială a subsemnatului), AMD Ryzen (2016/2017), AMD Zen (2017; citare contribuție inițială a subsemnatului), IBM z14 (2017) etc. A se vedea comentariile de sinteză disponibile online la https://acad.ro/sectii2002/proceedings/doc2019-2/12-Vintan.pdf, https://www.linkedin.com/pulse/neural-branch-prediction-lucian-vințan?trk=pulse_spock-articles sau la http://webspace.ulbsibiu.ro/lucian.vintan/html/Impact.docx. În continuare, câteva aprecieri asupra ideii mele, venite din partea unor personalități tehnico-științifice de renume international (anul 2017):

5

Page 6: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

„Congratulations! You deserve this recognition.” ”You did very good pioneer contribution with your branch predictor... you should be very proud of you! ” – Prof. Mateo Valero (IEEE/ACM Eckert-Mauchly Award), U.P.C. Barcelona & Barcelona Supercomputing Center, Spain „You can be proud that you have contributed to efforts that had real impact.” – Prof. Douglas Comer (ACM Fellow), Purdue University, USA “Congrats with this great achievement!” – Prof. Rainer Leupers, RWTH Aachen University, Germany “I'd like to congratulate you for the significant industrial application of your proposed solution.” - Prof. Cristina Silvano (IEEE Fellow), Politecnico di Milano, Italy „My congratulation for your impressive scientific achievements, first of all for being the first proposing the use of dynamic neural branch prediction that became finally commercially employed in a number of processors.” – Prof. Dezső Sima, Obuda University, Hungary „Propunerea unui predictor [neuronal implementat în] hardware a fost excelentă chiar dacă industria așteaptă cam 15 ani pentru concretizări.” – Prof. Traian Munteanu, Head ERISCS Research Group, Aix-Marseille University, France “Este ceva remarcabil să deschizi o pârtie, să fii omul din capătul de început pentru Neural Branch Prediction, tehnică ce foarte curând va deveni normă pentru toate arhitecturile de microprocesoare.” – Prof. Gh. Toacșe, Universitatea „Transilvania” Brasov “Te FELICIT din toată inima pentru marea realizare.” – Prof. Nicolae Țăpuș, U.P. București

12. VINȚAN L., EGAN C. – Extending Correlation in Branch Prediction Schemes, Proceedings of the 25th Euromicro International Conference, Milano, Italy, 8-10 September, IEEE Computer Society Press, ISBN 0-7695-0321-7, 1999 (citată ISI, conferință indexată ISI Thomson Proceedings), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Milano.pdf

13. STEVEN G., EGAN C., QUICK P., VINȚAN L. – Reducing Cold Start Mispredictions in Two Level Adaptive Branch Predictors, Proceedings of The 12th International Conference on Control Systems and Computer Science (CSCS-12), vol. 2, ISBN 973-96609-5-9, Bucharest, Romania, May 26-29, 1999

14. STEVEN G., VINȚAN L., FLOREA A. – Advanced Techniques for Improving Processor Performance in a Superscalar Architecture, Proceedings of The 12th International Conference on Control Systems and Computer Science (CSCS-12), vol. 2, ISBN 973-96609-5-9, Bucharest, Romania, May 26-29, 1999

15. VINȚAN L., FLOREA A. – Investigating New Branch Predictors through Quantitative Approaches, Proceedings of International Conference “Beyond 2000: Engineering Research Strategies”, 25-26 noiembrie ‘99, Vol. XXXVIII, ISSN 1221-4949, Editura Universitatii "L. Blaga", Sibiu, (Romania), 1999

16. VINȚAN L., FLOREA A. – Simulating Some Advanced Processing Techniques into a Superscalar Architecture, Proceedings of International Conference “Beyond 2000: Engineering Research Strategies”, 25-26 noiembrie ‘99, Vol. XXXVIII, ISSN 1221-4949, Editura Universitatii "L. Blaga", Sibiu (Romania), 1999

17. STEVEN G., EGAN C., VINȚAN L. – A Cost Effective Cached Correlated Two Level Adaptive Branch Predictor, Proceedings of The Eighteenth IASTED International Conference, ISBN: 0-88986-280-X, ISSN: 1027-2666 (CD-ROM) AI ‘2000, February 14-17, Innsbruck, Austria, 2000

6

Page 7: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

18. VINȚAN L. – Developing a New Branch Prediction Scheme, Proceedings of The Eighteenth IASTED International Conference, ISBN: 0-88986-280-X, ISSN: 1027-2666 (CD-ROM) AI ‘2000, February 14-17, Innsbruck, Austria, 2000 (acceptata spre publicare)

19. STEVEN G., ANGUERA R., EGAN C., STEVEN F., VINȚAN L. – Dynamic Branch Prediction using Neural Networks, EuroPar International Conference, Munich, Germany, September 2000 (acceptata spre publicare)

20. VINȚAN L., FLOREA A. – A New Branch Prediction Approach using Neural Networks, Proceedings of the 10th International Symposium on Computers and Informatics, SINTES – 10, ISBN 973-98836-6-4, 25-26 May 2000, Craiova, Romania

21. VINȚAN L., FLOREA A. – Branch Prediction: A Criticism and a Novel Scheme, Proceedings of the 10th International Symposium on Computers and Informatics, SINTES – 10, ISBN 973-98836-6-4, 25-26 May 2000, Craiova, Romania

22. VINȚAN L., STEVEN G.B. – Investigating a New Dynamic Neural Branch Predictor (Part 1,2), Transactions on Automatic Control and Computer Science, Special Issue dedicated to Fourth International Conference on Technical Informatics (CONTI ’2000), Volume 45 (59), No 4, ISSN 1224-600X, University "Politehnica" of Timisoara, Romania, 2000

23. CANDEA C., STAICU M., VINȚAN L. – Automatic Synthesis of Branch Prediction Schemes through Genetic Programming (Part 1,2), Transactions on Automatic Control and Computer Science, Special Issue Dedicated to Fourth International Conference on Technical Informatics (CONTI ’2000), Volume 45 (59), No 4, ISSN 1224-600X, University "Politehnica" of Timisoara, Romania, 2000

24. VINȚAN L. – Towards a Powerful Dynamic Branch Predictor, Romanian Journal of Information Science and Technology (ROMJIST), vol. 3, nr. 3, pg. 287-301, ISSN: 1453-8245, Romanian Academy, Bucharest, 2000, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Rom_JIST.pdf; Revistă c otată ISI Thomson Reuters: Impact Factor IF = 0,374 la 15.05.2014, cf. UEFISCDI - v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/FRI2014.pdf; IF = 0,453 la 2013, cf. UEFISCDI v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/rev_rom_isi_30_iulie_2014_factori.pdf; Scor Relativ de Influență SRI = 0,243 (august 2014), cf. UEFISCDI, v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/Scor_Relativ_Influenta_2014.pdf. The first paper that introduces and evaluates a perceptron branch predictor!

25. STEVEN G., EGAN C., ANGUERA R., VINȚAN L. – Dynamic Branch Prediction using Neural Networks, Proceedings of the International Euromicro Conference DSD '2001, IEEE Computer Society Press, ISBN 0-7695-1239-9, Warsaw, Poland, September, 2001 (pp.178-185), Digital Object Identifier: 10.1109/DSD.2001.952279 – indexată ISI Thomson Reuters Web of Science ; indexed in Excellence in Research for Australia - ERA Conference

26. STEVEN G., EGAN C., SHIM W., VINȚAN L. – Applying Caching to Two-Level Adaptive Branch Prediction, Proceedings of the International Euromicro Conference DSD '2001, IEEE Computer Society Press, ISBN 0-7695-1239-9, Warsaw, Poland, September,

7

Page 8: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

2001 (pp.186-193), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/CACHINGI.PDF – indexată ISI Thomson Reuters Web of Science ; indexed in Excellence in Research for Australia - ERA Conference

27. STEVEN G., EGAN C., SHIM W., VINȚAN L. – A Cost-Effective Two-Level Adaptive Branch Predictor, Proceedings of The 13th International Conference on Control Systems and Computer Science (CSCS 13), ISBN 973-85237-1-0, Bucharest, Romania, May 2001, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/COSTEFFE.PDF

28. VINȚAN L., FLOREA A. – Cross-Fertilisation between Computer Architecture and other Computer Science Fields, Proceedings of The 13th International Conference on Control Systems and Computer Science (CSCS 13), ISBN 973-85237-1-0, Bucharest, Romania, May 2001, v. http://webspace.ulbsibiu.ro/adrian.florea/html/docs/CSCS13.pdf

29. EGAN C., STEVEN G., VINȚAN L. – Quantifying the Benefits of Multiple Prediction Stages in Cached Two Level Adaptive Branch Predictors, Proceedings of International Conference SBAC-PAD, Brasil, Brasilia, September, 2001 (acceptata spre publicare)

30. FLOREA A., VINȚAN L. – Simulating an Advanced Superscalar Architecture, Proceedings of the Second Symposium "Extra Skills for Young Engineers ESYE 2001", ISBN 86-435-0440-8, Maribor, Slovenia, October 17-19, 2001 (organized by IEEE Slovenia Section)

31. EGAN C., STEVEN G., VINȚAN L. – Cached Two-Level Adaptive Branch Predictors with Multiple Stages, pp. 179-191, Lecture Notes in Computer Science, vol. 2299, Springer-Verlag, ISSN 0302-9743, ISBN 3-540-43409-7, Berlin Heidelberg, 2002, v. https://dl.acm.org/citation.cfm?id=751335 (cotată ISI Thomson Journals Web of Science , JR=0.40, JIF=0.515 in 2002, Average JIF=0.514 in 2004, vezi http://www.isinet.com/); v. http://www.ad-astra.ro/cartea-alba/articles.php?year_indexed=2003&institution_id=14&domain_id=40

32. SIMA D., VINȚAN L. – MVM – A Multi-Valued Logic Model for Information Retrieval and Filtering, Transactions on Automatic Control and Computer Science, Special Issue Dedicated to 5th International Conference on Technical Informatics (CONTI ’2002), Tom 47(61), No. 3, ISSN 1224-600X, University "Politehnica" of Timișoara, Romania, 2002

33. FLOREA A., VINȚAN L., SIMA D. – Understanding Value Prediction through Complex Simulations, Transactions on Automatic Control and Computer Science, Special Issue Dedicated to 5th International Conference on Technical Informatics (CONTI ’2002), Tom 47(61), No. 2, ISSN 1224-600X, University "Politehnica" of Timișoara, Romania, 2002

34. VINȚAN L., SBERA M., MIHU I.Z., FLOREA A. – An Alternative to Branch Prediction: Pre-Computed Branches, ACM SIGARCH Computer Architecture News, Vol. 31, Issue 3 (June), ISSN: 0163-5964, ACM Press, NY, USA, 2003 (indexată IEE INSPEC), v. https://www.researchgate.net/publication/220244847_An_alternative_to_branch_prediction_pre-computed_branches

35. EGAN C., STEVEN G., QUICK P., ANGUERA R., VINȚAN L. – Two-Level Branch Prediction using Neural Networks, Journal of Systems Architecture, vol. 49, issues 12-15,

8

Page 9: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

pp. 557-570, ISSN: 1383-7621, Elsevier, December 2003, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/JSA_2003.pdf; cotată ISI Thomson Journals Web of Science , JR=0.26, JIF=0.235 in 2003 (JIF=0,689 in 2015 cf. http://www.journals.elsevier.com/journal-of-systems-architecture/); scor relativ de influență al revistei: 0,530332681017613 calculat UEFISCDI in 2012 - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/); peste 25 de citări GoogleScholar ale acestui articol, în lucrări științifice publicate ale unor autori din străinătate, până în anul 2012 – v. mai jos, paragraful de citări! Top 25 hottest articles in 2011, a se vedea http://top25.sciencedirect.landingzone.nl/subject/engineering/12/journal/journal-of-systems-architecture/13837621/archive/36

36. PETZOLD J., BAGCI F., TRUMLER W., UNGERER T., VINȚAN L. – Global State Context Prediction Techniques Applied to a Smart Office Building, 2004 Communication Networks and Distributed Systems Modelling and Simulation Conference (CNDS '04), San Diego, California, USA, January 18-21, 2004, v. https://www.researchgate.net/publication/228769110_Global_state_context_prediction_techniques_applied_to_a_smart_office_building sau http://webspace.ulbsibiu.ro/lucian.vintan/html/Prediction_CNDS.pdf; 32 de citări GoogleScholar până în anul 2016 - http://scholar.google.com/scholar?q=author%3Al-vințan (v. The Smart Doorplates Project - https://www.informatik.uni-augsburg.de/en/chairs/sik/research/finished/smartdoorplate/)

37. FLOREA A., VINȚAN L., MIHU I.Z. – Understanding and Predicting Indirect Branch Behavior, Studies in Informatics and Control, Vol. 13, No. 1, pg. 61-82, ISSN: 1220-1766, National Institute for Research and Development in Informatics, Bucharest, March 2004 (indexată IEE INSPEC, revistă cotată ISI Thomson Journals); IF=0,605 în 2013, v. http://sic.ici.ro/?page_id=13, v. https://www.researchgate.net/publication/264708404_Understanding_and_Predicting_Indirect_Branch_Behavior

38. VINȚAN L., GELLERT A., UNGERER T., PETZOLD J. – Person Movement Prediction using Neural Networks, KI 2004 Proceedings Workshop on Modelling and Retrieval of Context, University of Ulm, Germany, ISSN 1613-0073, September 20th -21st

2004, v. https://www.researchgate.net/publication/228761485_Person_Movement_Prediction_Using_Neural_Networks sau http://webspace.ulbsibiu.ro/lucian.vintan/html/NN_Context.pdf; peste 80 de citări până în anul 2018 – v. http://scholar.google.com/scholar?q=author%3Al-vințan

39. VINȚAN L., GELLERT A., FLOREA A. – Register Value Prediction using Metapredictors, Proceedings of the 8-th International Symposium on Automation Control and Computer Science (SACCS 2004), CD, ISBN 973-621-086-3, Iasi, Romania, October 22-23, 2004 (republicată în Buletinul științific, seria Automatica si Calculatoare, Tomul L (LIV), Fasc. 1-4, pg. 109-122, Iasi, 2004)

40. VINȚAN L. – Value Prediction and Speculation into the Next Microprocessors Generation, Proceedings of The Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 5, Number 3, pp. 321-328, ISSN 1454-9069, Bucharest, 2004, v. https://www.researchgate.net/publication/264708494_Value_Prediction_and_Speculation_int

9

Page 10: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

o_the_Next_Microprocessors_Generation (Recommended by Acad. Mihai Drăgănescu; revistă cotată ISI Thomson Journals – v. http://thomsonscientific.com/cgi-bin/jrnlst/jlresults.cgi?PC=MASTER&Word=romanian; http://www.cncsis.ro/cenaposs/2006/rev_ed/reviste_cat_A.pdf); IF=1,12 în 2015, cf. http://www.researchgate.net/journal/1454-9069_Proceedings_of_the_Romanian_Academy-Series_A_Mathematics_Physics_Technical_Sciences_Information_Science; Revista a fost încadrată în “zona roșie” Q1/TR WoS cf. JCR (Journal Citation Report) 2015 (publicat in iunie 2016) și cf. UEFISCDI – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202016/Clasament%20IF%202015.pdf sau Q1_PRA.pdf sau http://www.upm.ro/cercetare/documente/2016/Clasament%20IF%202015.pdf (pg. 351/715).

41. VINȚAN L., FLOREA A., GELLERT A. – Focalising Dynamic Value Prediction to CPU’s Context, Computers & Digital Techniques, IEE Proceedings (from 2006: IET Computers and Digital Techniques, ISSN: 1751-8601), IEE, United Kingdom, Vol. 152, No. 4, ISSN 1350-2387, July 2005, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/IEE.pdf (cotată ISI Thomson Journals Web of Science, JR=0.52, Average JIF=0.313 in 2004; scor relativ de influență al revistei: 0.5232 in 2011, cf. CNCS – v. http://uefiscdi.gov.ro/articole/2359/Program-IDEI_Proiecte-de-Cercetare-Exploratorie-_Competitie-2011.html). IF=0,36 in 2014 cf. http://www.researchgate.net/journal/1751-8601_IET_Computers_Digital_Techniques

42. SIMION C., BORZA S., VINȚAN L. – Ring Gage's Design using the Computer, Proceedings of The 7-th World Scientific and Engineering Academy and Society Conference on Automatic Control, Modelling and Simulation, pp. 227-230, Prague, Czech Republic, March 13 - 15, 2005 (indexată ISI Web of Science - http://apps.isiknowledge.com/)

43. FLOREA A., VINȚAN L. – Advanced techniques for improving indirect branch prediction accuracy, Proceedings of the European Conference on Modelling and Simulation (formerly referred to as ESM 2005), pp. 750-759, ISBN 1-84233-112-4 (set) / ISBN 1-84233-113-2 (CD), Riga, Latvia, June 1st – 4th, 2005 (indexată IEE INSPEC si ISI Web of Science - http://apps.isiknowledge.com/); indexed in Excellence in Research for Australia - ERA Conference - cf. ERA 2010 Conf. List Change

44. L. VINȚAN, A. GELLERT, A. FLOREA – Value Prediction Focalised on CPU Registers, Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), pp. 181-184, Academic Press, Ghent, Belgium, ISBN 90 382 0802 2, 2005

45. GELLERT A., VINȚAN L. – Person Movement Prediction Using Hidden Markov Models, Studies in Informatics and Control, Vol. 15, No. 1, pp. 17-30, ISSN: 1220-1766, National Institute for Research and Development in Informatics, Bucharest, March 2006 (indexată IEE INSPEC, revistă cotată ISI Thomson Journals); IF=0,605 în 2013, v. http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.322.2127&rep=rep1&type=pdf; peste 55 citări GoogleScholar până in anul 2018 – v. http://scholar.google.com/scholar?q=author%3Al-vințan

46. MORARIU D., VINȚAN L. – A Better Correlation of the SVM Kernel’s Parameters, Proceedings of the 5th RoEduNet IEEE International Conference, 6 pages, ISBN (10) 973-739-277-9, Sibiu, 1-3 June 2006 (indexată ISI Web of Science - http://apps.isiknowledge.com/)

10

Page 11: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

47. M. OANCEA, A. GELLERT, A. FLOREA, L. VINȚAN – Analyzing Branch Prediction Context Influence, Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), pp. 5-8, Academic Press, Ghent, Belgium, ISBN 90 382 0981 9, July 2006

48. L. VINȚAN, A. GELLERT, A. FLOREA, M. OANCEA, C. EGAN – Understanding Prediction Limits through Unbiased Branches, Eleventh Asia-Pacific Computer Systems Architecture Conference, Shanghai 6-8th, September, 2006, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/LNCS.pdf; Lecture Notes in Computer Science. Advances in Computer Systems Architecture, vol. 4186, pp. 480-487, ISSN 0302-9743, ISBN-13 978-3-540-40056, Springer-Verlag Berlin / Heidelberg, 2006 (cotată ISI Thomson Journals Web of Science , JR=0.40, JIF=0.515 in 2002, Average JIF=0.514 in 2004 vezi http://www.isinet.com/); indexată in BDI Scopus http://www.scopus.com/results/results.url?sort=plf-f&src=s&st1=vințan&st2=&sid=qBbCgR9l7X2Vza2_3H37Mwq%3a40&sot=b&sdt=b&sl=19&s=AUTHOR-NAME%28vințan%29&origin=searchbasic&txGid=qBbCgR9l7X2Vza2_3H37Mwq%3a4

49. D. MORARIU, L. VINȚAN, V. TRESP – Feature Selection Methods for an Improved SVM Classifier, Enformatika Journal, Transactions on Engineering, Computing and Technology, vol. 14, August 2006, pp. 83-89, ISBN/ISSN 1305-5313, (World Enformatika Conference, 3rd International Conference on Intelligent Systems, ICIS 2006), Prague, Czech Republik, 2006, v. http://acaps.ulbsibiu.ro/papers/Morariu_Prag_Enf.pdf (indexată ISI Web of Science – v. http://apps.isiknowledge.com/)

50. D. MORARIU, L. VINȚAN, V. TRESP – Evolutionary Feature Selection for Text Document using SVM, Proceedings of XV International Conference on Computer and Information Science and Engineering, pp. 215-221, October 22-24, 2006, Barcelona, Spain, CISE 2006, ISBN: 975-00803-4-3, v. https://waset.org/publications/15879/evolutionary-feature-selection-for-text-documents-using-the-svm (indexată ISI Web of Science - http://apps.isiknowledge.com/)

51. D. MORARIU, L. VINȚAN, V. TRESP – Meta-classification using SVM classifiers for Text Document, Proceedings of XV International Conference on Computer and Information Science and Engineering, pp. 222-227, October 22-24, 2006, Barcelona, Spain, CISE 2006, ISBN: 975-00803-4-3, v. https://www.researchgate.net/publication/242580604_Meta-Classification_using_SVM_Classifiers_for_Text_Documents (indexată ISI Web of Science - http://apps.isiknowledge.com/)

52. D. MORARIU, L. VINȚAN, V. TRESP – Evaluating some Feature Selection Methods for an improved SVM Classifier, International Journal of Intelligent Technology, Vol. 1, No. 4, pp. 288-298, ISSN 1305-6417, pp. 288-298, 2006, v. https://www.researchgate.net/publication/242142653_Evaluating_some_Feature_Selection_Methods_for_an_Improved_SVM_Classifier

53. A. GELLERT, A. FLOREA, M. VINȚAN, C. EGAN, L. VINȚAN – Unbiased Branches: An Open Problem, The Twelfth Asia-Pacific Computer Systems Architecture Conference (ACSAC 2007), Seoul, Korea, August 23rd-25th, 2007, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/acsac2007.pdf; Lecture Notes in Computer Science. Advances in Computer Systems Architecture, vol. 4697, pp. 16-27,

11

Page 12: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

ISSN 0302-9743 (Print) 1611-3349 (Online), ISBN 978-3-540-74308-8, Springer-Verlag Berlin / Heidelberg, 2007 (cotată ISI Thomson Journals Web of Science JR=0.40, JIF=0.515 in 2002, AJIF=0.514 în, vezi http://www.isinet.com/; 26 accepted papers from 92 submissions); indexată Scopus http://www.scopus.com/results/results.url?sort=plf-f&src=s&st1=vințan&st2=&sid=qBbCgR9l7X2Vza2_3H37Mwq%3a40&sot=b&sdt=b&sl=19&s=AUTHOR-NAME%28vințan%29&origin=searchbasic&txGid=qBbCgR9l7X2Vza2_3H37Mwq%3a4

54. A. FLOREA, C. RADU, H. CALBOREAN, A. CRAPCIU, A. GELLERT, L. VINȚAN – Designing an Advanced Simulator for Unbiased Branches’ Prediction, The 9-th International Symposium on Automatic Control and Computer Science (SACCS), ISSN 1843-665X, Iasi, Romania, November 16 - 18, 2007 (republicat în formă revazută și adaugită in Bul. șt. al Univ. “Gh Asachi” Iași, v. în continuare lucrarea C. 45)

55. I. D. MORARIU, M. VINȚAN, L. N. VINȚAN – Aspects concerning SVM Method’s Scalability, Studies in Computational Intelligence (SCI). Advances in Intelligent and Distributed Computing, Volume 78, pp. 125-134, Springer-Verlag Berlin Heidelberg, ISSN 1860-949X, ISBN 978-3-540-74929-5, 2008, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/sci.pdf; Journal Impact Factor = 0.515 (cotată ISI Thomson Journals Web of Science - http://apps.isiknowledge.com/); Indexat de asemenea in DBLP, Ulrichs, SCOPUS, MathSciNet, Current Mathematical Publications, Mathematical Reviews, Zentralblatt Math: MetaPress and Springerlink, cf. http://www.springer.com/series/7092

56. I. D. MORARIU, M. VINȚAN, L. N. VINȚAN – Studying SVM Method’s Scalability using Text Documents, Scalable Computing: Practice and Experience, Volume 9, Number 1, pp.1-10, ISSN 1895-1767, March 2008, indexată http://www.scpe.org/?a=volume&v=35

57. VINȚAN L. N., FLOREA A., GELLERT A.1,2 – Random Degrees of Unbiased Branches, Proceedings of the Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 9, Number 3, pp. 259 - 268, ISSN 1454-9069, Bucharest, 2008 – v. http://www.academiaromana.ro/sectii2002/proceedings/doc2008-3/13-Vințan.pdf (cotată ISI Thomson Journals Web of Science - http://scientific.thomsonreuters.com/cgi-bin/jrnlst/jlresults.cgi?PC=MASTER&ISSN=1454-9069); articol recenzat de prof. univ. dr. ing. Gh. Ștefan, membru (c.) al Academiei Române. Impact Factor=0.333, cf. http://www.cncsis.ro/IC8/2009_files/Factor_relativ_ajustat.pdf; IF=1,12 în 2015, cf. http://www.researchgate.net/journal/1454-9069_Proceedings_of_the_Romanian_Academy-Series_A_Mathematics_Physics_Technical_Sciences_Information_Science; Revista a fost încadrată în “zona roșie” Q1/TR WoS cf. JCR 2015 (publicat în iunie 2016) și cf. UEFISCDI – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202016/Clasament%20IF%202015.pdf sau http://www.upm.ro/cercetare/documente/2016/Clasament%20IF%202015.pdf (pg. 351/715) sau Q1_PRA.pdf; Articol premiat de CNCSIS în anul 2009, v. http://uefiscdi.gov.ro/UserFiles/File/PREMIERE_ARTICOLE/ARTICOLE_2008/REZULTATE_ARTICOLE_APARUTE_2008_PRIMITE_2009.pdf1 Articole premiate de ULBS in martie/mai 2009,

2 Articole premiate de CNCSIS in 2009

12

Page 13: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

58. A. GELLERT, A. FLOREA, L. VINȚAN122 – Exploiting Selective Instruction Reuse and Value Prediction in a Superscalar Architecture, Journal of Systems Architecture, vol. 55, issues 3, pp. 188-195, ISSN 1383-7621, Elsevier, 2009, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/jsa2009.pdf; scor relativ de influență al revistei: 0,530332681017613 calculat UEFISCDI in 2012 - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/; (cotată ISI Thomson Journals Web of Science - http://scientific.thomsonreuters.com/cgi-bin/jrnlst/jlresults.cgi?PC=MASTER&ISSN=1383-7621, http://dx.doi.org/10.1016/j.sysarc.2008.11.002, Impact Factor = 0.828 cf. http://www.cncsis.ro/IC8/2009_files/Factor_relativ_ajustat.pdf). JIF=0,689 in 2015 cf. http://www.journals.elsevier.com/journal-of-systems-architecture/; Articol premiat de CNCSIS în anul 2009, v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202009/REZULTATE_PREMIERE_TRIMESTRUL%20I_2009b.pdf

59. FLOREA A., GELLERT A., VINȚAN L., VELTAN M.132 – The Impact of Java Applications at Microarchitectural Level from Branch Prediction Perspectives, International Journal of Computers, Communications & Control (IJCCC), Agora University Editing House - CCC Publications, ISSN 1841 – 9836, E-ISSN 1841-9844, Vol. IV, No. 1, pp. 27-40, 2009; v. http://univagora.ro/jour/index.php/ijccc/article/view/2411 (cotată ISI Thomson Journals Web of Science - http://scientific.thomson.com/cgi-bin/jrnlst/jlresults.cgi?PC=MASTER&Word=computers); IF=0.373 - http://www.cncsis.ro/userfiles/file/CENAPOSS/A_iunie_2010_FI.pdf (Automation & Control Systems; Computer Science, Information Systems); IF=0,694 în 2015, cf. http://univagora.ro/jour/index.php/ijccc/; Articol premiat de CNCSIS în anul 2009, v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202009/REZULTATE_PREMIERE_TRIMESTRUL%20I_2009b.pdf

60. C. RADU, H. CALBOREAN, A. FLOREA, A. GELLERT, L. VINȚAN – Exploring some multicore research opportunities. A first attempt, Fifth International HiPEAC Summer School on Advanced Computer Architecture and Compilation for Embedded Systems (ACACES), Terrassa (Barcelona), Spain, Academic Press, Ghent, Belgium, ISBN 978 90 382 1467 2, July 2009

61. CRETULESCU R., MORARIU D., VINŢAN L. – Eurovision-like weighted Non-Adaptive Meta-classifier for Text Documents, Proceedings of the 8th RoEduNet IEEE International Conference Networking in Education and Research, pp. 145-150, ISBN 978-606-8085-15-9, Galați, December 2009, v. https://www.researchgate.net/publication/264737189_Eurovision-like_weighted_Non-Adaptive_Meta-classifier_for_Text_Documents (conferință indexată ISI WoS - http://apps.isiknowledge.com/)

2

2

3? Articole premiate de ULBS in martie/mai 2009

2 Articole premiate de CNCSIS in 20092

13

Page 14: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

62. GELLERT A., PALERMO G., ZACCARIA V., FLOREA A., VINȚAN L., SILVANO C. – Energy-Performance Design Space Exploration in SMT Architectures Exploiting Selective Load Value Predictions, Design, Automation & Test in Europe International Conference (DATE 2010), March 8-12, 2010, Dresden, Germany, ISBN 978-3-9810801-6-2, pp. 271-274, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Date_2010.pdf; 326 accepted papers from over 980 submitted papers! DATE is the second prestigious conference in the world in Electronic Design Automation domain, after DAC; indexed in Excellence in Research for Australia - ERA Conference. Indexată ISI Thomson Reuters Proceedings Web of Science . Conferința internaționala de top în domeniul de abilitare – v. http://www.cnatdcu-c15.org/ si http://portal.core.edu.au/conf-ranks/ (A=18%, B=26%; DATE era clasificată în cat. B, la 07.12.2018.)

63. MORARIU D., CRETULESCU R., VINȚAN L. – Improving a SVM Meta-classifier for Text Documents by using Naïve Bayes, International Journal of Computers, Communications & Control (IJCCC), Agora University Editing House - CCC Publications, ISSN 1841 – 9836, E-ISSN 1841-9844, Vol. 5, No. 3, pp. 351-361, 2010, v. http://univagora.ro/jour/index.php/ijccc/article/viewFile/2487/954. Cotată ISI Thomson Journals Web of Science - http://scientific.thomson.com/cgi-bin/jrnlst/jlresults.cgi?PC=MASTER&Word=computers; IF=0.373 - http://www.cncsis.ro/userfiles/file/CENAPOSS/A_iunie_2010_FI.pdf (Automation & Control Systems; Computer Science, Information Systems); IF=0,694 în 2015, cf. http://univagora.ro/jour/index.php/ijccc/

64. CREȚULESCU R., MORARIU D., VINȚAN L., COMAN I. D. – An Adaptive Meta-classifier for Text Documents, The 16th International Conference on Information Systems Analysis and Synthesis (ISAS 2010), vol. 2, pp. 372-377, ISBN-13: 978-1-934272-88-6, Orlando Florida, USA, April 6th – 9th 2010, v. https://www.researchgate.net/publication/264702265_An_Adaptive_Meta-classifier_for_Text_Documents (indexed in Excellence in Research for Australia - ERA Conference); indexată Web of Science

65. CALBOREAN H., VINȚAN L. – An Automatic Design Space Exploration Framework for Multicore Architecture Optimizations, Proceedings of The 9-th IEEE RoEduNet International Conference, pp. 202-207, ISSN 2068-1046, Sibiu, June 24-26, 2010, v. http://www.calborean.ro/papers/RoEduNet2010FADSE.pdf - http://roedu2010.ulbsibiu.ro/ (indexată IEEE Xplore Digital Library - http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?reload=true&arnumber=5541567; indexată ISI Thomson Reuters Proceedings Web of Science, v. http://apps.isiknowledge.com/summary.do?qid=16&product=WOS&SID=1C8GFgi%40Ij2hhnOM6nj&search_mode=GeneralSearch) – Best Paper Award ! FADSE free software tool is publicly available at https://github.com/horiacalborean/fadse.

66. RADU C., VINȚAN L. – Optimizing Application Mapping Algorithms for NoCs through a Unified Framework, Proceedings of The 9-th IEEE RoEduNet International Conference, pp. 259-264, ISSN 2068-1046, Sibiu, June 24-26, 2010, v. https://www.researchgate.net/publication/224164690_Optimizing_application_mapping_algorithms_for_NoCs_through_a_unified_framework - http://roedu2010.ulbsibiu.ro/ (indexată IEEE Xplore Digital Library - http://ieeexplore.ieee.org/search/freesrchabstract.jsp?tp=&arnumber=5541562&queryText%3DVințan,+Lucian%26openedRefinements%3D*

14

Page 15: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

%26searchField%3DSearch+All; indexată ISI Thomson Reuters Proceedings Web of Science, v. http://apps.isiknowledge.com/summary.do?qid=16&product=WOS&SID=1C8GFgi%40Ij2hhnOM6nj&search_mode=GeneralSearch)

67. C. RADU, L. VINȚAN – Towards a Unified Framework for the Evaluation and Optimization of NoC Application Mapping Algorithms, 6-th International HiPEAC Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES), Terrassa (Barcelona), Spain, pp. 163-166, Published by FP7 HiPEAC Network of Excellence, ISBN 978 90 382 1631 7, July 2010 - http://www.hipeac.net/summerschool/index.php?page=home

68. H. CALBOREAN, L. VINȚAN – Toward an Efficient Automatic Design Space Exploration Frame for Multicore Optimization, 6-th International HiPEAC Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems (ACACES), Terrassa (Barcelona), Spain, pp. 135-138, Published by FP7 HiPEAC Network of Excellence, ISBN 978 90 382 1631 7, July 2010 - http://www.hipeac.net/summerschool/index.php?page=home

69. R. JAHR, T. UNGERER, H. CALBOREAN, L. VINȚAN – Automatic Multi-Objective Optimization of Parameters for Hardware and Code Optimizations, Proceedings of the 2011 International Conference on High Performance Computing & Simulation (HPCS 2011), pp. 308-316, Publisher: IEEE, ISBN 978-1-61284-381-0, Istanbul, Turkey, July 2011 - http://hpcs11.cisedu.info/ (paper proposed for the Outstanding Paper Award, together with other 9 papers. It was included in the best 10 papers and proposed to be published – in an extended version - in Concurrency and Computation: Practice and Experience journal, see paper no. 80) - IEEE Explore: http://ieeexplore.ieee.org/search/freesrchabstract.jsp?tp=&arnumber=5999839&queryText%3DAutomatic+multi-objective+optimization+of+parameters+for+hardware+and+code+optimizations%26openedRefinements%3D*%26filter%3DAND%28NOT%284283010803%29%29%26searchField%3DSearch+Al; indexed in Excellence in Research for Australia - ERA Conference. Conferință de tip A in Computer Science Conference Rank - http://lipn.univ-paris13.fr/~bennani/CSRank.html

70. H. CALBOREAN, R. JAHR, T. UNGERER, L. VINȚAN – Optimizing a Superscalar System using Multi-objective Design Space Exploration, Proceedings of the 18th International Conference on Control Systems and Computer Science (CSCS-18), vol. I, pp. 339-346, ISSN 2066-4451, Bucharest, May 2011, v. https://www.researchgate.net/publication/228619976_Optimizing_a_Superscalar_System_using_Multi-objective_Design_Space_Exploration - http://cscs18.ncit.pub.ro/home/content.html; it was selected to be published – in an extended version – in a Springer journal.

71. C. RADU, L. VINȚAN – Optimized Simulated Annealing for Network-on-Chip Application Mapping, Proceedings of the 18th International Conference on Control Systems and Computer Science (CSCS-18), vol. I, pp. 452-459, ISSN 2066-4451, Bucharest, May 2011 - http://cscs18.ncit.pub.ro/home/content.html; it was selected to be published – in an extended version – in a Springer journal.

72. A. FLOREA, A. RAȚIU, A. GELLERT, L. N. VINŢAN – A Visual Simulation Framework for Simultaneous Multithreading Architectures, Proceedings of the 25-th

15

Page 16: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

European Conference on Modelling and Simulation (ECMS 2011), ISBN 978-0-9564944-2-9, pp. 403-409, Krakow, Poland, June 7th -10th, 2011 - http://www.scs-europe.net/conf/ecms2011/index.html; indexed in Excellence in Research for Australia - ERA Conference - cf. ERA 2010 Conf. List Change, DBLP - http://www.informatik.uni-trier.de/~ley/pers/hd/v/Vințan:Lucian_N=.html etc. Indexată Web of Science

73. I. D. MIRONESCU, L. VINŢAN – Optimally Mapping a CFD Application on a HPC Architecture, ACACES 2011 Seventh International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems, Poster Abstracts, pp. 227-230, ISBN 978 90 382 1798 7, Published by FP7 HiPEAC Network of Excellence, 10-16 July 2011, Fiuggi, Italy - http://www.hipeac.net/summerschool/

74. MORARIU D., CREȚULESCU R., VINȚAN L. – Using Suffix Tree Document Representation in Hierarchical Agglomerative Clustering, International Conference on Intelligent Systems - ICIS Conference (published in: World Academy of Science, Engineering and Technology. An International Journal of Science, Engineering and Technology, Issue 59, ISSN 2011-376x, eISSN, 2010-2778, part I, pp. 131-136, v. https://www.researchgate.net/publication/264732975_Using_Suffix_Tree_Document_Representation_in_Hierarchical_Agglomerative_Clustering; http://www.waset.org/journals/waset/v59.php), Paris, November 2011, http://www.waset.org/conferences/2011/france/icis/

75. JAHR R., CALBOREAN H., VINȚAN L., UNGERER T. – Boosting Design Space Explorations with Existing or Automatically Learned Knowledge, The 16-th International GI/ITG Conference on Measurement, Modelling and Evaluation of Computing Systems and Dependability and Fault Tolerance (MMB/DFT 2012), March 19-21, 2012, Kaiserslautern, Germany; Lecture Notes in Computer Science, 2012, Volume 7201/2012, pp. 221-235, Springer-Verlag Berlin Heidelberg, ISSN 0302-9743, ISBN 978-3-642-28539-4, DOI: 10.1007/978-3-642-28540-0_16 – v. http://www.mmb2012.de/; http://www.springerlink.com/content/978-3-642-28539-4#section=1045830&page=1&locus=0

76. R. G. CREŢULESCU, D. I. MORARIU, M. BREAZU, L. N. VINŢAN – Weights Space Exploration using Genetic Algorithms for Meta-classifier in Text Document Classification, Studies in Informatics and Control, Vol. 21, Issue 2, pp. 147-154, ISSN: 1220-1766, National Institute for Research and Development in Informatics (ICI), Bucharest, 2012, v. https://sic.ici.ro/wp-content/uploads/2012/06/SIC_2012-2-Art4.pdf (cotată ISI Thomson Reuters, IF=0.671 in 2010 – v. http://sic.ici.ro/sic2012_2/index.html); indexată Web of Science

77. Á. GELLÉRT, H. CALBOREAN, L. VINŢAN, A. FLOREA – Multi-Objective Optimisations for a Superscalar Architecture with Selective Value Prediction, IET Computers & Digital Techniques, IET, United Kingdom, Vol. 6, Issue 4, pp. 205-213, ISSN: 1751-8601, 2012, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/CDT2012.pdf (cotată Thomson Reuters Web of Science ; The 2010 Impact Factor for IET Computers and Digital Techniques is 0.484; scor relativ de influență al revistei: 0.5232 in 2011, cf. CNCS – v. http://digital-library.theiet.org/dbt/dbt.jsp?KEY=ICDTA6&Volume=CURVOL&Issue=CURISS; IF=0,36 in 2014 cf. http://www.researchgate.net/journal/1751-8601_IET_Computers_Digital_Techniques)

16

Page 17: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

78. C. RADU, L. VINȚAN – Domain-Knowledge Optimized Simulated Annealing for Network-on-Chip Application Mapping, Advances in Intelligent Control Systems and Computer Science (Book title). Advances in Intelligent Systems and Computing (Series title), Volume 187, pp. 473-487, ISBN 978-3-642-32547-2, ISSN 2194-5357, Springer Berlin Heidelberg, v. https://www.researchgate.net/publication/229049720_Domain-Knowledge_Optimized_Simulated_Annealing_for_Network-on-Chip_Application_Mapping (extended version of [B71]), 2013 – v. http://rd.springer.com/chapter/10.1007/978-3-642-32548-9_34; indexată ISI Thomson Reuters Proceedings Web of Science ; Library of Congress Control Number: 2012944262. DOI: 10.1007/978-3-642-32548-9, WOS:000312752500034

79. H. CALBOREAN, R. JAHR, UNGERER T., L. VINȚAN – A Comparison of Multi-Objective Algorithms for the Automatic Design Space Exploration of a Superscalar System, Advances in Intelligent Control Systems and Computer Science (Book title). Advances in Intelligent Systems and Computing (Series title), Volume 187, pp. 489-502, ISBN 978-3-642-32547-2, ISSN 2194-5357, Springer Berlin Heidelberg, v. https://www.researchgate.net/publication/264737397_A_Comparison_of_Multi-Objective_Algorithms_for_the_Automatic_Design_Space_Exploration_of_a_Superscalar_System (extended version of [B70]), 2013 – v. http://link.springer.com/chapter/10.1007/978-3-642-32548-9_35#; indexată ISI Thomson Reuters Proceedings Web of Science ; Library of Congress Control Number: 2012944262. DOI: 10.1007/978-3-642-32548-9, WOS:000312752500035

80. JAHR R., CALBOREAN H., VINȚAN L., UNGERER T. – Finding Near-Perfect Parameters for Hardware and Code Optimizations with Automatic Multi-Objective Design Space Explorations, Concurrency and Computation: Practice and Experience, doi: 10.1002/cpe.2975, Volume 27, Issue 9 (June 25), pp. 2196-2214, Print ISSN 1532-0626, Online ISSN: 1532-0634, John Wiley & Sons, 2015, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/CCPE.pdf. Cotată ISI Thomson Reuters Web of Science; scor relativ de influență al revistei: 0,771037181996086 in ianuarie 2012, cf. CNCS, v. http://www.cncs-nrc.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/; IF= 0.997 (2015) cf. http://www.bioxbio.com/if/html/CONCURR-COMP-PRACT-E.html si Scor Relativ Influenta (Average Influence Score) = 0.613 cf. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/Scor_Relativ_Influenta_2014.pdf; Indexed IEEE. Article first published online: 20 DEC 2012, v. http://onlinelibrary.wiley.com/doi/10.1002/cpe.2975/abstract?deniedAccessCustomisedMessage=&userIsAuthenticated=false; ISI Journal Citation Reports © Ranking: 2012: 42/100 (Computer Science Theory & Methods); Această revistă a fost încadrată în “zona galbenă” Q2/TR WoS cf. JCR 2014_IF (publicat in iunie 2015) și cf. UEFISCDI – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202014/Clasament_IF_2014.pdf (pg. 89/528). Articolul a fost premiat de UEFISCDI în noiembrie 2015 (Q2-WoS), în cadrul programului "Premierea rezultatelor cercetarii”, cod depunere PN-II-RU-PRECISI-2015-9-9243 – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202015/REZULTATE/Rezultate%20evaluare_lista%202_20.11.2015(1).pdf (pg. 191/214, poz. 731, Q2-WoS). Premiat ULBS 2015. DOI: 10.1002/cpe.2975, WOS:000355001700002

81. C. RADU, MD. S. MAHBUB, L. VINȚAN – Developing Domain-Knowledge Evolutionary Algorithms for Network-on-Chip Application Mapping, Microprocessors and

17

Page 18: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Microsystems, vol. 37, issue 1, pp. 65-78, ISSN: 0141-9331, Elsevier, February 2013. V. http://webspace.ulbsibiu.ro/lucian.vintan/html/Micro_2013.pdf sau https://www.researchgate.net/publication/256970262_Developing_Domain-Knowledge_Evolutionary_Algorithms_for_Network-on-Chip_Application_Mapping; cotată ISI Thomson Reuters Web of Science , IF=0.592 in 2012 (JIF=0,598 in 2015 – v. http://www.journals.elsevier.com/microprocessors-and-microsystems), scor relativ de influenta al revistei: 0,489260143198091 in ianuarie 2012, cf. CNCS; v. http://www.sciencedirect.com/science/article/pii/S0141933112001901. Premiat ULBS 2013 . DOI: 10.1016/j.micpro.2012.11.003, WOS:000315314900007

82. CHIS R., VINȚAN L. – Improving a Design Space Exploration Framework for Computing Systems Multi-Objective Optimization, ACACES 2013 Ninth International Summer School on Advanced Computer Architecture and Compilation for High-Performance and Embedded Systems, Poster Abstracts, pp. 149-152, ISBN 978 90 382 2190 8, Published by FP7 HiPEAC-3 Network of Excellence, 14-20 July 2013, Fiuggi, Italy – v. http://www.hipeac.net/summerschool/index.php?page=home.

83. Ion D. MIRONESCU, Lucian VINȚAN – Performance Prediction for Parallel Applications Running on HPC Architectures through Petri Net Modelling and Simulation, 9th

International Conference on Intelligent Computer Communication and Processing (ICCP 2013), ISBN 978-1-4799-1493-7, pp. 276-270, IEEE Computer Society Press, Cluj-Napoca, September 5 - 7 2013 (IEEE Xplore), http://www.iccp.ro/iccp2013/. Indexat IEEE, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6646119&url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel7%2F6636285%2F6646058%2F06646119.pdf%3Farnumber%3D6646119; 57 accepted papers from a total of 91 submitted papers. DOI: 10.1109/ICCP.2013.6646119

84. Radu CHIȘ, Maria VINȚAN, Lucian VINȚAN – Multi-objective DSE Algorithms’ Evaluations on Processor Optimization, Proceedings of 9th International Conference on Intelligent Computer Communication and Processing (ICCP 2013), ISBN 978-1-4799-1493-7, pp. 27-34, IEEE Computer Society Press, Cluj-Napoca, September 5 - 7 2013 (IEEE Xplore), v. https://www.researchgate.net/publication/261506951_Multi-objective_DSE_algorithms%27_evaluations_on_processor_optimization, v. http://www.iccp.ro/iccp2013/; full paper. Indexat IEEE, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6646076&url=http%3A%2F%2Fieeexplore.ieee.org%2Fiel7%2F6636285%2F6646058%2F06646076.pdf%3Farnumber%3D6646076; 57 accepted papers from a total of 91 submitted papers. DOI: 10.1109/ICCP.2013.6646076

85. VINȚAN L. – Degrees of Contradiction for Fuzzy Logic Rules implementing Computer Architecture Ontologies (Grade de contradictie pentru ontologii de domeniu reprezentate prin logici fuzzy), Revista Română de Informatică şi Automatică, ISSN: 1220-1758, Editura ICI, Bucuresti, vol. 23, nr. 3, pg. 23-26, 2013, v. https://www.researchgate.net/publication/264724059_Degrees_of_Contradiction_for_Fuzzy_Logic_Rules_implementing_Computer_Architecture_Ontologies_Grade_de_contradictie_pentru_ontologii_de_domeniu_reprezentate_prin_logici_fuzzy

86. L. VINȚAN – Thoughts about material implication “if A then B”, Studies in Logic, vol. 6, no. 3, pp. 81-87, ISSN: 1674-3202, Institute of Logic and Cognition, Sun Yat-sen

18

Page 19: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

University, Guangzhou, China, 2013, v. http://www.studiesinlogic.net/english/UploadFiles_1698/201311/20131119215931891.pdf

87. MORARIU D., CREȚULESCU R., VINȚAN L. – Vector versus Tree Model Representation in Document Clustering, Romanian Journal of Information Science and Technology (ROMJIST), vol. 16, no. 1, pp. 81-102, ISSN: 1453-8245, Romanian Academy, Bucharest, 2013. Disponibil online la adresa: http://www.imt.ro/romjist/Volum16/Number16_1/pdf/06-LVințan.pdf; ROMJIST cotată ISI Thomson Reuters Web of Science , IF = 0,453 la 2013, cf. UEFISCDI, v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/rev_rom_isi_30_iulie_2014_factori.pdf; Scor Relativ de Influență SRI = 0,243 (august 2014), cf. UEFISCDI, v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/Scor_Relativ_Influenta_2014.pdf. WOS:000328194800006

88. A. FLOREA, C. R. BUDULECI, R. CHIȘ, Á. GELLÉRT, L. VINŢAN – Enhancing the Sniper Simulator with Thermal Measurement, Proceedings of The 18-th International Conference on System Theory, Control and Computing, Sinaia (Romania), ISBN 978-1-4799-4602-0, pp. 31-36, IEEE, October 17 - 19, 2014, v. https://www.academia.edu/10486438/Enhancing_the_Sniper_simulator_with_thermal_measurement, v. http://www.ace.tuiasi.ro/icstcc2014/index.html; conferință indexată ISI Thomson Reuters Proceedings si IEEE - http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=6982386&sortType%3Dasc_p_Sequence%26filter%3DAND(p_IS_Number%3A6982378). DOI: 10.1109/ICSTCC.2014.6982386. Aceasta este prima lucrare în care s-a extins simulatorul de sisteme multicore Sniper cu posibilitatea măsurării temperaturilor, la intervale de timp prestabilite. (This was the first paper that enlarges the Sniper multicore / manycore simulator with thermal measurement possibilities using the HotSpot simulator.) Facilitatea a fost folosită în lucrările [B90], [B101].

89. R. CREȚULESCU, A. DAVID, D. MORARIU, L. VINŢAN – Part of Speech Tagging with Naive Bayes Methods, Proceedings of The 18-th International Conference on System Theory, Control and Computing, Sinaia (Romania), ISBN 978-1-4799-4602-0, pp. 452-457, IEEE, October 17 - 19, 2014, v. https://www.academia.edu/17331078/Part_of_Speech_Tagging_with_Na%C3%AFve_Bayes_Methods, https://www.researchgate.net/publication/264743842_Part_of_Speech_Tagging_with_Naive_Bayes_Methods, v. http://www.ace.tuiasi.ro/icstcc2014/index.html; indexată IEEE - http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6982457&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6982457. DOI: 10.1109/ICSTCC.2014.6982457

90. Radu CHIȘ, Lucian VINŢAN – Multi-Objective Hardware-Software Co-Optimization for the SNIPER Multi-Core Simulator, Proceedings of 10th International Conference on Intelligent Computer Communication and Processing (ICCP 2014), ISBN 978-1-4799-6568-7, pp. 3-9, IEEE Computer Society Press, Cluj-Napoca, September 4 - 6 2014 (IEEE Xplore - http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=6936772&sortType%3Dasc_p_Sequence%26filter%3DAND(p_IS_Number%3A6936959) ), v. https://www.researchgate.net/publication/264743844_Multi-Objective_Hardware-Software_Co-Optimization_for_the_SNIPER_Multi-Core_Simulator, v. http://www.iccp.ro/iccp2014/; full paper; indexată ISI Thomson Reuters Proceedings Web of Science. WOS:000348677300001

19

Page 20: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

91. Ion MIRONESCU, Lucian VINŢAN – Colored Petri Net Modelling of Task Scheduling on a Heterogeneous Computational Node, Proceedings of 10th International Conference on Intelligent Computer Communication and Processing (ICCP 2014), ISBN 978-1-4799-6568-7, pp. 323-330, IEEE Computer Society Press, Cluj-Napoca, September 4 - 6 2014 (IEEE Xplore - http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6937016&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6937016), v. http://www.iccp.ro/iccp2014/; full paper; indexată ISI Thomson Reuters Proceedings Web of Science. WOS:000348677300048. Disponibil online la https://www.researchgate.net/publication/264744234_Coloured_Petri_Net_Modelling_of_Task_Scheduling_on_a_Heterogeneous_Computational_Node

92. Lucian N. VINŢAN – Multi-Objective Optimization of Advanced Computing Systems: Some Achievements and Fertile Work Directions, Romanian Journal of Information Science and Technology (ROMJIST), vol. 17, no. 2 (aprilie – iunie), pp. 121-133, ISSN: 1453-8245, Romanian Academy, Bucharest, 2014. Disponibil online pe site-ul revistei: v. http://www.imt.ro/romjist/Volum17/Number17_2/pdf/LVințan2014.pdf; ROMJIST inclus in (ISI) Thomson Reuters Master Journal List: v. http://ip-science.thomsonreuters.com/cgi-bin/jrnlst/jlresults.cgi?PC=MASTER&Full=ROMANIAN%20JOURNAL%20OF%20INFORMATION%20SCIENCE%20AND%20TECHNOLOGY; C otată ISI Thomson Reuters Web of Science: IF = 0,374 la 15.05.2014, cf. UEFISCDI v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/FRI2014.pdf; IF = 0,453 pe 2013, cf. UEFISCDI, v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/rev_rom_isi_30_iulie_2014_factori.pdf; Scor Relativ de Influență SRI = 0,243 (august 2014), cf. UEFISCDI, v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/Scor_Relativ_Influenta_2014.pdf. WOS:000350281600001

93. R. CREȚULESCU, A. DAVID, D. MORARIU, L. VINŢAN – Part-Of-Speech Labeling for Reuters Database, Proceedings of The 19-th International Conference on System Theory, Control and Computing, ISBN 978-1-4799-8481-7, pp. 117-122, Cheile Gradistei - Fundata Resort, Romania, IEEE, October 14 - 16, 2015, DOI: 10.1109/ICSTCC.2015.7321279, v. http://www.aie.ugal.ro/icstcc2015; indexată IEEE Xplore – v. http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7321279&newsearch=true&searchWithin=%22Last%20Name%22:Cretulescu (ISI Thomson Reuters Proceedings Web of Science – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=C2RGHYryRtGYV1m5RKT&search_mode=GeneralSearch&prID=f99a3a86-acee-4b21-acb0-068f6186ab64 ) WOS:000382384100020. Disponibil online la https://www.researchgate.net/publication/314914794_Part-of-speech_labeling_for_Reuters_database?uploadChannel=invalid

94. VINȚAN L., CHIȘ R., MD. ALI ISMAIL, COȚOFANĂ C. – Improving Computing Systems Automatic Multi-Objective Optimization through Meta-Optimization, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, ISSN: 0278-0070, Volume 35, Issue 7, pp. 1125-1129, (DOI 10.1109/TCAD.2015.2501299), July 2016, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/TCAD.pdf sau https://www.researchgate.net/publication/284133586_Improving_Computing_Systems_

20

Page 21: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Automatic_Multi-Objective_Optimization_through_Meta-Optimization (first online publishing November 17th 2015) – v. http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=7329996&filter%3DAND%28p_IS_Number%3A7493714%29; http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=43; Revistă c otată (ISI) Thomson Reuters Web of Science (WOS:000380064200007), IF = 1,942 cf. JCR 2016; Scor Relativ Influenta (Average Influence Score) = 1,342 (octombrie 2015, cf. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/RIS2015.pdf). Această revistă a fost încadrată în “zona roșie” Q1 TR WoS cf. JCR 2014, publicat in iunie 2015 și cf. UEFISCDI – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202014/IF_2013.pdf (pg. 70/486, Computer Science, Hardware & Architecture) sau IF_2014_IEEE_TCAD_ROSU.pdf. ESI Total Citations / Engineering (2012-2016) Q1 TR WoS cf. https://jcr-incites-thomsonreuters-com.am.e-nformation.ro/JCRJournalProfileAction.action?SID=B2-px2Bs2RUJv0rITxx28CdcsItqjsQrMy64rn-18x2dKAY5x2BRx2BsE1XXs0XqhOfBNgx3Dx3D2x2Box2FL9FbIk1YkVSwx2F0yDNgx3Dx3D-iyiHxxh55B2RtQWBj2LEuawx3Dx3D-1iOubBm4x2FSwJjjKtx2F7lAaQx3Dx3D&issn=0278-0070&SrcApp=IC2LS&Init=Yes&tab=RANK. Articolul a fost premiat de UEFISCDI în octombrie 2016 (Q2-WoS), în cadrul programului "Premierea rezultatelor cercetarii”, cod depunere PN-III-P1-1.1-PRECISI-2016-12387 – v. http://uefiscdi.gov.ro/userfiles/file/PNCDI%20III/P1_Resurse%20Umane/PRECISI_2016/PROCES%20EVALUARE/Rezultate/PRECISI_2016_Rezultate%20eligibilitate_lista%204_28_10_2016.pdf (pp. 87/109, poz. 233). Revista a a fost încadrată în “zona galbenă” Q2/TR WoS cf. JCR 2015 (publicat in iunie 2016). Premiat ULBS septembrie 2016.

95. VINŢAN L., MORARIU D., CREŢULESCU R., VINŢAN M. – An Extension of the VSM Documents Representation, International Journal of Computers, Communications & Control, ISSN 1841–9836, Vol. 12, Issue 3, pp. 403 - 414, June 2017, v. http://univagora.ro/jour/index.php/ijccc/article/view/2889/pdf; Revistă cotată (ISI) Thomson Journals Web of Science , Impact Factor: IF=1,374, cf. JCR 2016 (Q3, 96/146 in Computer Science, v. https://uefiscdi.ro/preview.php?&id=3386&wtok=31791e0f0d8fcf1245f56f8db85a88630f60fd23&wtkps=XY1BCgIxDAD/krNgkzSmTf+wCL5g3fZQFBEq7EH8u9t6ED0lhJnJbGrPZmKwPi7XBqmaj86R96kZG7SaoW9kMOsBffFCJZYzhrwsLKxKQXNQZtc5NKh9brggfBJLHlnE2AE1yPl+O572rI4CBSdhGJv6vewIURiRkUdWhjX9OegMfsHt71ogTen1Bg==&wchk=013fdad276f0ea2718d2042c541d19146ad8cac9) și http://univagora.ro/jour/index.php/ijccc/, SRI=0,420 cf. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/RIS2015.pdf; WOS:000402475300008, DOI: http://dx.doi.org/10.15837/ijccc.2017.3. Premiat ULBS august 2017.

96. MIRONESCU I. D., VINŢAN L. – A TASK SCHEDULING ALGORITHM FOR HPC APPLICATIONS USING COLORED STOCHASTIC PETRI NET MODELS, Proceedings of 13th

International Conference on Intelligent Computer Communication and Processing (ICCP 2017), ISBN 978-1-5386-3368-7/17, IEEE Computer Society Press, Cluj-Napoca, September 7 - 9 2017, v. https://www.researchgate.net/publication/321149974_A_Task_Scheduling_Algorithm_for_HPC_Applications_using_Colored_Stochastic_Petri_Net_Models; Articol indexat (ISI) Thomson Reuters Web of Science (WoS) Proceedings , v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=Z26mRYgKg5tnR4BIMKy&search_mode=GeneralSearch&prID=9593

21

Page 22: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

451c-dad9-4766-be18-36d3d05524eb; indexat DBLP – v. http://dblp.uni-trier.de/pers/hd/v/Vințan:Lucian.html. WOS:000417426600060

97. BĂNCIOIU C., VINŢAN L. – A Comparison between Two Feature Selection Algorithms, Proceedings of The 21-st International Conference on System Theory, Control and Computing (ICSTCC), ISBN 978-1-5386-3842-2, IEEE Catalog Number CFP1736P-ART, pp. 242-247, Sinaia, Romania, IEEE, October 19 – 21 2017, v. https://www.researchgate.net/publication/321149977_A_Comparison_between_Two_Feature_Selection_Algorithms; Articol indexat (ISI) Thomson Reuters Web of Science (WoS) Proceedings, v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=Z26mRYgKg5tnR4BIMKy&search_mode=GeneralSearch&prID=29ec43b0-f6ad-4a13-8c13-91bde09eccfc; indexat IEE Xplore – v. http://ieeexplore.ieee.org/document/8107041/. WOS:000427419900039.

98. MORARIU I. D., VINŢAN L., CREŢULESCU R. – An Extension of the VSM Documents Representation using Word Embedding, Proceedings of The 8th Balkan Region Conference on Engineering and Business Education and 10th International Conference on Engineering and Business Education, pp. 259-267, ISSN 1843 – 6730, Sibiu, 19-22 October 2017; Articol indexat (ISI) Thomson Reuters (WoS) Proceedings, v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=Z26mRYgKg5tnR4BIMKy&search_mode=GeneralSearch&prID=e51dd586-a3ec-49eb-addd-d767d6cb3773. Disponibil online la https://www.researchgate.net/publication/321150684_An_Extension_of_the_VSM_Documents_Representation_using_Word_Embedding

99. CHIȘ R., VINȚAN L. – Developing Automatic Multi-Objective Optimization Methods for Complex Actuators, Advances in Electrical and Computer Engineering, Vol. 17, Issue 4, pp. 89-98, ISSN: 1582-7445, November 2017; Revista este cotată (ISI) Thomson Journals WoS – Clarivate Analytics Web of Science , IF= 0,595 (cf. JCR 2016 respectiv cf. http://www.aece.ro/ la 22.06.2017), SRI = 0,170 cf. http://old.uefiscdi.ro/userfiles/file/CENAPOSS/RIS_2016.pdf (iunie 2016). Articolul este disponibil online la adresele: http://webspace.ulbsibiu.ro/lucian.vintan/html/aece.pdf respectiv http://www.aece.ro/abstractplus.php?year=2017&number=4&article=11. Digital Object Identifier: 10.4316/AECE.2017.04011. WOS:000417674300011. Acceptance Rate=16% cf. http://www.aece.ro/current.php. Acest articol prezintă o soluție la o problemă complexă de optimizare multi-obiectiv, propusă autorilor de compania CONTINENTAL Sibiu, v. https://www.linkedin.com/pulse/multi-objective-optimization-complex-industrial-actuators-vințan/

100. L. N. VINŢAN – Towards Synergic Meta-Algorithmic Approaches in Complex Computing Systems, Romanian Journal of Information Science and Technology (ROMJIST), Vol. 20, No. 3, pp. 241-255, ISSN: 1453-8245, Romanian Academy, Bucharest, 2017. Disponibil online la adresele: http://webspace.ulbsibiu.ro/lucian.vintan/html/Romjist_2017.pdf, http://romjist.ro/full-texts/paper563.pdf. Revista este cotată (IS) Thomson Reuters) Web of Science – Clarivate Analytics , IF = 0,422 cf. JCR 2016 respectiv cf. https://uefiscdi.ro/preview.php?&id=2837&wtok=b5ceee8fb63a7c5bbfb8f156d6ee742ba6ea8627&wtkps=XY5LDsIwDETv4jUVdpIS49yhQuIEQApN+FWkBSTE3WnaBYKdNfPeyBtZyCtJKfDojqcELohFq5fMLokWSMFDvozAzvOtbtS9e/a8bWPsDVFxjWcOsTjssQmUSRIIMLlEU2IFvG8vq/

22

Page 23: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

VcW1SsGEvOhRrYbzIjQ6xRIeNolaNV/TmEw+4PqIbXa3CVe38A&wchk=da3ea7625634e09281b36bef3c4b6878a016a5cd, SRI=0,295 cf. http://old.uefiscdi.ro/userfiles/file/CENAPOSS/RIS_2016.pdf (iunie 2016). WOS:000418495500007

101. CHIȘ R., FLOREA A., BUDULECI C., VINȚAN L. – Multi-Objective Optimization for an Enhanced Multi-Core SNIPER Simulator, Proceedings of the Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 19, Number 1, pp. 85-93, ISSN 1454-9069, Bucharest, January-March 2018. Articol disponibil online la http://www.acad.ro/sectii2002/proceedings/doc2018-1/12.pdf sau la https://www.researchgate.net/publication/323218904_MULTI-OBJECTIVE_OPTIMIZATION_FOR_AN_ENHANCED_MULTI-CORE_SNIPER_SIMULATOR?_iepl%5BviewId%5D=IuWw1weHLx9gn75G6vIvlToy&_iepl%5BprofilePublicationItemVariant%5D=default&_iepl%5Bcontexts%5D%5B0%5D=prfpi&_iepl%5BtargetEntityId%5D=PB%3A323218904&_iepl%5BinteractionType%5D=publicationPreviewImage. Revista este cotată (ISI Thomson Reuters) Clarivate Analytics Web of Science , IF = 1,752 cf. JCR 2017 (publicat iunie 2018), AIS=0,248 și este încadrată în “zona galbenă Q2 WoS cf. JCR 2017, 25/64 Multidisciplinary Sciences (Information Science), v. http://jcr.incites.thomsonreuters.com.am.e-nformation.ro/JCRJournalProfileAction.action?Init=Yes&tab=RANK&issn=1454-9069&SrcApp=IC2LS&SID=H4-mcp4dRPpRpIQPceGpmUCcSDM1lx2F8fjnG-18x2dQM6hosx2Bnqyeix2BC4bxxs5fRAx3Dx3DgdvnEp3lhW7Zp5MdtfpNCAx3Dx3D-9vvmzcndpRgQCGPd1c2qPQx3Dx3D-wx2BJQh9GKVmtdJw3700KssQx3Dx3D sau https://uefiscdi.ro/resource-84259?&wtok=eb2a9f9ab6d4b48513df349b38b4d76f2608319f&wtkps=XY1BbsIwEEXvMuuSejwxMZM7VEicwIodNGBIGhMsgXr3Ju6igtV8jf5733HDz8SGId/OMUErrGlbk6Jdm5gYknhYk2WoT6of46NHF3D7nXdi893meYPZTdKZDTU+OlnbyFCuZjAIf6LOFzvWqxgbBu/H6/7wSY3SVltlbCEW9P/zoRENIRJS0ZpCfb0xqBhei8tuDmV3SZfBzzFUw3Ss5tBL6rxUdwm5ctNNuiFC+/ML&wchk=1b94d00dbe34c35e4dfc23d92c5d154a41a66d5a. Revista a fost încadrată în “zona roșie” Q1 WoS cf. JCR 2015 (publicat în iunie 2016) și cf. UEFISCDI – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202016/Clasament%20IF%202015.pdf sau http://www.upm.ro/cercetare/documente/2016/Clasament%20IF%202015.pdf (pg. 351/715) sau Q1_PRA.pdf. SRI (AIS)=0,429 cf. http://old.uefiscdi.ro/userfiles/file/CENAPOSS/RIS_2016.pdf. Articol recenzat de prof. univ. dr. ing. Gh. Ștefan, membru (c.) al Academiei Române. Articolul a fost premiat de UEFISCDI în decembrie, anul 2018 (Q2-WoS), în cadrul programului "Premierea rezultatelor cercetarii”, cod depunere PN-III-P1-1.1-PRECISI-2018-24966 – v. https://uefiscdi.ro/resource-85053?&wtok=3b268acac616775a8fceccdbe36d69c8dc1b8ae6&wtkps=XY1bDoIwEEX3Mt+KnZbSOuzBmLgCpFUr5RHKI8a4d6F+GP2am8k59xak6BlIEsxD5QPkjnjKslShygMJguAMrEkTpK5l41QNmbCN8logD3V3f2y96K/DeYvVfBvttNJI4NbLCSTCp6g0sR3T/QooAmO65njaCcW45ppJHY1F/X42HFEKRIEi1spoHf4cZAS/4LI727i7pLo1o7dJ21+T0V5cKI1LJmfnpOgHV7Ye8tcb&wchk=623d061491064523e8bc7e9fa3a958ab9697f0db (poz. 458, pg. 152). WOS:000427012800012.

102. Á. GELLÉRT, A. FLOREA, U. FIORE, P. ZANETTI, L. VINŢAN – Performance and Energy Optimisation in CPUs through Fuzzy Knowledge Representation, Information Sciences, Volume 476, pp. 375-391, ISSN: 0020-0255, DOI: 10.1016/j.ins.2018.03.029,

23

Page 24: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Elsevier, February 2019 (available online 12 March 2018 – a se vedea https://www.sciencedirect.com/science/article/pii/S0020025518302068). Articol disponibil online și la: http://webspace.ulbsibiu.ro/lucian.vintan/html/IS_2018.pdf. Revista este cotată (ISI Thomson Reuters) Clarivate Analytics Web of Science , IF = 4,305 – v. https://www.journals.elsevier.com/information-sciences, AIS (Average Influence Score) = 1,060, cf. JCR 2017 (publicat în iunie 2018) respectiv IF = 5,524 , AIS (Average Influence Score) = 1,110, cf. JCR 2018 (publicat la 20 iunie 2019) - v. http://jcr.incites.thomsonreuters.com.am.e-nformation.ro/JCRJournalProfileAction.action?Init=Yes&tab=RANK&issn=0020-0255&SrcApp=IC2LS&SID=H4-mcp4dRPpRpIQPceGpmUCcSDM1lx2F8fjnG-18x2dQM6hosx2Bnqyeix2BC4bxxs5fRAx3Dx3DgdvnEp3lhW7Zp5MdtfpNCAx3Dx3D-9vvmzcndpRgQCGPd1c2qPQx3Dx3D-wx2BJQh9GKVmtdJw3700KssQx3Dx3D. Revista a fost încadrată în “zona roșie” Q1 WoS în domeniul Computer Science (12/148 IF, 29/148 AIS), cf. JCR 2017 (publicat în iunie 2018). Revista a fost încadrată în “zona roșie” Q1 WoS în domeniul Computer Science (9/155 IF), cf. JCR 2018 (publicat la 20 iunie 2019), v. http://jcr.incites.thomsonreuters.com.am.e-nformation.ro/JCRJournalProfileAction.action?Init=Yes&tab=RANK&issn=0020-0255&SrcApp=IC2LS&SID=H4-mcp4dRPpRpIQPceGpmUCcSDM1lx2F8fjnG-18x2dQM6hosx2Bnqyeix2BC4bxxs5fRAx3Dx3DgdvnEp3lhW7Zp5MdtfpNCAx3Dx3D-9vvmzcndpRgQCGPd1c2qPQx3Dx3D-wx2BJQh9GKVmtdJw3700KssQx3Dx3D sau https://uefiscdi.ro/resource-84259?&wtok=eb2a9f9ab6d4b48513df349b38b4d76f2608319f&wtkps=XY1BbsIwEEXvMuuSejwxMZM7VEicwIodNGBIGhMsgXr3Ju6igtV8jf5733HDz8SGId/OMUErrGlbk6Jdm5gYknhYk2WoT6of46NHF3D7nXdi893meYPZTdKZDTU+OlnbyFCuZjAIf6LOFzvWqxgbBu/H6/7wSY3SVltlbCEW9P/zoRENIRJS0ZpCfb0xqBhei8tuDmV3SZfBzzFUw3Ss5tBL6rxUdwm5ctNNuiFC+/ML&wchk=1b94d00dbe34c35e4dfc23d92c5d154a41a66d5a (pg. 141). Revista este si indexată Scopus. Articol premiat de ULBS in anul 2019. (Finanţarea a fost asigurată prin donaţia Fundaţiei Hasso Plattner către ULBS.) Articolul a fost premiat de UEFISCDI în anul 2019 (Q1-WoS), în cadrul programului "Premierea rezultatelor cercetarii”, cod depunere PN-III-P1-1.1-PRECISI-2019-33609 – v. WOS:000452342700024.

103. MIRONESCU I. D., VINŢAN L. – A Simulation Based Analysis of an Multi Objective Diffusive Load Balancing Algorithm, International Journal of Computers, Communications & Control, pp. 503 - 520, ISSN 1841–9836, Volume 13, Issue 4, 2018, v. http://univagora.ro/jour/index.php/ijccc/article/view/3308 sau https://www.researchgate.net/publication/326016715_A_Simulation_Based_Analysis_of_an_Multi_Objective_Diffusive_Load_Balancing_Algorithm. Revista este cotată Clarivate Analytics Web of Science , Impact Factor: IF=1,290, AIS=0,170, cf. JCR 2017, publicat în iunie 2018, Q3 (98/148) in Computer Science, v. http://jcr.incites.thomsonreuters.com.am.e-nformation.ro/JCRJournalProfileAction.action?Init=Yes&tab=RANK&issn=1841-9836&SrcApp=IC2LS&SID=H4-mcp4dRPpRpIQPceGpmUCcSDM1lx2F8fjnG-18x2dQM6hosx2Bnqyeix2BC4bxxs5fRAx3Dx3DgdvnEp3lhW7Zp5MdtfpNCAx3Dx3D-9vvmzcndpRgQCGPd1c2qPQx3Dx3D-wx2BJQh9GKVmtdJw3700KssQx3Dx3D. WOS: 000439760900004. Scopus CiteScore2017=1,04 (Q2). Articol recenzat de prof. univ. dr. ing. Radu Emil Precup, membru (c.) al Academiei Române. Articol premiat de ULBS în anul 2018.

24

Page 25: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

104. FIORE U., FLOREA A., GELLERT A., VINȚAN L., ZANETTI P. – Optimal partitioning of LLC in CAT-enabled CPUs to prevent side-channel attacks, Recent Advances in Cyberspace Safety and Security - The 10-th International Symposium on Cyberspace Safety and Security (CSS 2018, http://css2018.di.unisa.it/index.php/call-for-papers/), Amalfi, Italy, October 29-31 2018, Lecture Notes in Computer Science 11161, pp. 115-123, ISSN 0302-9743, ISBN 978-3-030-01688-3, Springer Nature Switzerland AG 2018. Indexat DBLP – v. https://dblp.uni-trier.de/pers/hd/v/Vintan:Lucian.html si Scopus – v. https://www.scopus.com/authid/detail.uri?authorId=55948022600; Disponibil online la https://www.researchgate.net/publication/327860133_Optimal_partitioning_of_LLC_in_CAT-enabled_CPUs_to_prevent_side-channel_attacks

105. GELLÉRT Á., VINȚAN L. – A Multicore Architecture with Selective Load Value Prediction, Proceedings of the Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 19, Number 4, pp. 597-604, ISSN 1454-9069, Bucharest, October-December 2018 (online available at 21.11.2018). Articol disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Proc_Rom_Acad_2018.pdf sau la http://www.acad.ro/sectii2002/proceedings/doc2018-4/art11.pdf. Revista este cotată Clarivate Analytics Web of Science , IF = 1,752, AIS=0,251, cf. JCR 2017 (publicat în iunie 2018) și este încadrată în “zona galbenă” Q2 WoS cf. JCR 2017, 25/64 Multidisciplinary Sciences (Information Science), v. http://jcr.incites.thomsonreuters.com.am.e-nformation.ro/JCRJournalProfileAction.action?Init=Yes&tab=RANK&issn=1454-9069&SrcApp=IC2LS&SID=H4-mcp4dRPpRpIQPceGpmUCcSDM1lx2F8fjnG-18x2dQM6hosx2Bnqyeix2BC4bxxs5fRAx3Dx3DgdvnEp3lhW7Zp5MdtfpNCAx3Dx3D-9vvmzcndpRgQCGPd1c2qPQx3Dx3D-wx2BJQh9GKVmtdJw3700KssQx3Dx3D sau https://uefiscdi.ro/resource-84259?&wtok=eb2a9f9ab6d4b48513df349b38b4d76f2608319f&wtkps=XY1BbsIwEEXvMuuSejwxMZM7VEicwIodNGBIGhMsgXr3Ju6igtV8jf5733HDz8SGId/OMUErrGlbk6Jdm5gYknhYk2WoT6of46NHF3D7nXdi893meYPZTdKZDTU+OlnbyFCuZjAIf6LOFzvWqxgbBu/H6/7wSY3SVltlbCEW9P/zoRENIRJS0ZpCfb0xqBhei8tuDmV3SZfBzzFUw3Ss5tBL6rxUdwm5ctNNuiFC+/ML&wchk=1b94d00dbe34c35e4dfc23d92c5d154a41a66d5a (pg. 483) sau JCR_2017_IF.pdf. Revista a fost încadrată în “zona roșie” Q1 WoS cf. JCR 2015 (publicat în iunie 2016) și cf. UEFISCDI – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202016/Clasament%20IF%202015.pdf sau http://www.upm.ro/cercetare/documente/2016/Clasament%20IF%202015.pdf (pg. 351/715); SRI=0,429 cf. http://old.uefiscdi.ro/userfiles/file/CENAPOSS/RIS_2016.pdf. Articol premiat de ULBS in anul 2019. Articolul a fost premiat de UEFISCDI în anul 2019 (Q2-WoS), în cadrul programului "Premierea rezultatelor cercetarii”, cod depunere PN-III-P1-1.1- PRECISI-2019-30891. WOS: 000454140900011

106. C. BĂNCIOIU, M. VINȚAN, L. VINŢAN – Efficiency Optimizations for Koller and Sahami’s Feature Selection Algorithm, Romanian Journal of Information Science and Technology (ROMJIST), Vol. 22, No. 1, pp. 85-99, ISSN: 1453-8245, Romanian Academy, Bucharest, 2019. Disponibil online la adresa https://www.romjist.ro/full-texts/paper620.pdf sau la adresa: https://www.researchgate.net/publication/332593592_Efficiency_Optimizations_for_Koller_and_Sahami%27s_Feature_Selection_Algorithm. Revista este cotată Clarivate Analytics Web of Science, IF = 0,661, cf. JCR 2018 (publicat la 20 iunie 2019), v. http://jcr.incites.thomsonreuters.com.am.e-nformation.ro/JCRJournalProfileAction.action?Init=Yes&tab=RANK&issn=1453-8245&SrcApp=IC2LS&SID=H4-

25

Page 26: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

mcp4dRPpRpIQPceGpmUCcSDM1lx2F8fjnG-18x2dQM6hosx2Bnqyeix2BC4bxxs5fRAx3Dx3DgdvnEp3lhW7Zp5MdtfpNCAx3Dx3D-9vvmzcndpRgQCGPd1c2qPQx3Dx3D-wx2BJQh9GKVmtdJw3700KssQx3Dx3D. WOS: 000469865900007

107. VINȚAN L. – Neural Branch Prediction: from the First Ideas, to Implementations in Advanced Microprocessors and Medical Applications, Proceedings of the Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, ISSN 1454-9069, Volume 20, Number 2, pp. 200-207, Bucharest, April-June 2019 (publicat efectiv la 11.06.2019 – v. “Art_PrRA publicat la 11 iunie 2019.png” sau https://acad.ro/sectii2002/proceedings/proc_pag_ln.htm.) Disponibil online la adresele: https://acad.ro/sectii2002/proceedings/doc2019-2/12-Vintan.pdf, https://www.researchgate.net/publication/333681732_NEURAL_BRANCH_PREDICTION_FROM_THE_FIRST_IDEAS_TO_IMPLEMENTATIONS_IN_ADVANCED_MICROPROCESSORS_AND_MEDICAL_APPLICATIONS sau http://webspace.ulbsibiu.ro/lucian.vintan/html/PRA%202019.pdf (the last one, contains an update). Revistă cotată Clarivate Analytics Web of Science , IF = 1,752, AIS=0,251, Q2 WoS, 25/64 Multidisciplinary Sciences (Information Science), cf. Journal Citation Report 2018 (publicat la 26 iunie 2018, valabil pentru perioada 26.06.2018 – 20.06.2019), v. http://jcr.incites.thomsonreuters.com.am.e-nformation.ro/JCRJournalProfileAction.action?Init=Yes&tab=RANK&issn=1454-9069&SrcApp=IC2LS&SID=H4-mcp4dRPpRpIQPceGpmUCcSDM1lx2F8fjnG-18x2dQM6hosx2Bnqyeix2BC4bxxs5fRAx3Dx3DgdvnEp3lhW7Zp5MdtfpNCAx3Dx3D-9vvmzcndpRgQCGPd1c2qPQx3Dx3D-wx2BJQh9GKVmtdJw3700KssQx3Dx3D sau la https://uefiscdi.gov.ro/resource-84252?&wtok=&wtkps=XY5bDoIwEEX30m+tfQCtwx6IiSsotJJCFULB+oh7t9QPo383d86ZGQUFPD3kgMLcO4/KqvTAAXmr0ZoEoKwzltS9qJ1SD1GHzpkQ+nYrfTHq25bfWSb3K0wBWfTRKaWpibrW4+Vw3HFBmGSS5HIdsMh+mw3NqOSEEUmSlSer+nMoiXt/QBa/NuliTOdBL87gYWrxYk7WN9riqzUBq2m2zeBQ+XoD&wchk=4104411dd3645961ce4bfae903466bdc75d112ac (v. și JCR_2017_IF.pdf). IF = 1,402 (Q3, 38/69 IF, AIF=0,240), cf. JCR 2019 (publicat la 20 iunie 2019 – v. https://clarivate.com/blog/science-research-connect/announcing-the-2019-journal-citation-reports/ sau „JCR 2019 publicat la 20.06.2019.png”.) Revista a fost încadrată în “zona roșie” Q1 WoS, cf. JCR 2015 (publicat în iunie 2016) și cf. UEFISCDI – v. http://uefiscdi.gov.ro/userfiles/file/PREMIERE_ARTICOLE/ARTICOLE%202016/Clasament%20IF%202015.pdf (v. și documentul Q1_PRA.pdf) sau http://www.upm.ro/cercetare/documente/2016/Clasament%20IF%202015.pdf (pg. 351/715). SRI=0,429 cf. http://old.uefiscdi.ro/userfiles/file/CENAPOSS/RIS_2016.pdf. WOS: 000475673700012

108.

C. ARTICOLE ȘTIINȚIFICE PUBLICATE ÎN REVISTE DE SPECIALITATE DIN ȚARĂ (BULETINE ȘTIINȚIFICE) SAU ÎN CONFERINȚE NAȚIONALE DE SPECIALITATE

1. VINȚAN L., MIHU I. Z. – A flexible microdiagnose technique on I-102F/4M minisystem, Proceedings of the Conference on Optimization of Electric and Electronic Equipments,

26

Page 27: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

OPTIM '91, vol. 2, pp. 245 - 250, Universitatea "Transilvania" din Brașov, 10-12 octombrie, 1991 (I-102F/4M Romanian 16 bits computer system was compatible with the well-known DEC PDP 11/44 minisystem). Acesta a fost primul meu articol științific. A fost dezvoltat, elaborat și redactat integral de mine, ca, de altfel, și următoarele trei articole, C2, C3, C4, publicate în anul 1993.

2. VINȚAN L., MIHU I. Z. – Some aspects concerning the extension of the instructions set through dynamic microprogramming over an I-102F/4M minisystem, Buletinul Științific al Universității din Sibiu (Acta Universitatis Cibiniensis), vol. X, seria Electronică si Calculatoare, ISSN 1221-4930, 1993

3. VINȚAN L. – An accelerator of high level language made through firmware, Buletinul Științific al Universității din Sibiu, vol. X, seria Electronică și Calculatoare, ISSN 1221-4930, 1993 (accelerator la nivel de micro-cod al unor funcții matematice din biblioteca FORTRAN 77, realizat prin microprogramare dinamică pe sistemul I-102F/4M, compatibil DEC PDP11/44, sub forma unor noi instructiuni masină, mapate pe codurile rezervate ale CPU – v. https://www.researchgate.net/publication/284032290_An_accelerator_of_high_level_language_made_through_firmware)

4. VINȚAN L., MIHU I. Z. – Diagnosis techniques on dynamic microprogramable computing systems, Bul. Stiintific al Universitatii din Sibiu, vol. X, seria Electronică și Calculatoare, ISSN 1221-4930, 1993 – v. https://www.researchgate.net/publication/284032516_Diagnosis_techniques_on_dynamic_microprogramable_computing_systems

5. VINȚAN L. - Procesoare RISC, cateva aspecte, PC-Report Calculatoare Personale, nr. 22, pg 34-35, Editura Hot- Soft, ISSN 1220-9856, Tg. Mures, 1994

6. VINȚAN L. - Tehnici de predictie a branch-urilor, PC-Report Calculatoare Personale, nr. 22, Editura Hot-Soft, ISSN 1220-9856, Tg. Mures, 1994

7. VINȚAN L. - Microprocesorul MC 88100, PC-Report Calculatoare Personale, nr. 22, Ed. Hot-Soft, ISSN 1220-9856, Tg. Mures, 1994

8. VINȚAN L. - Microarhitectura nanoprogramata, PC-Report Calculatoare Personale, nr. 23, Ed. Hot-Soft, ISSN 1220-9856, Tg. Mures, 1994 (primul articol focalizat pe tehnica de nanoprogramare – microprogramare pe două niveluri, în România), v. https://www.researchgate.net/publication/321192967_VINȚAN_L_-_Microarhitectura_nanoprogramata_PC-Report_Calculatoare_Personale_nr_23_Ed_Hot-Soft_ISSN_1220-9856_Tg_Mures_1994

9. VINȚAN L. - Probleme actuale in procesarea pipeline, Open-Tehnologia Informatiei, nr. 3, Ed. Hot-Soft, Tg. Mures,1994

10. VINȚAN L. - O caracteristica a supercomputerelor: procesarea vectoriala, Open - Tehnologia Informatiei, nr. 4, Ed. Hot-Soft, Tg. Mures, 1994

11. VINȚAN L. - A non-Harvard RISC Processor Approach, Acta Universitatis Cibiniensis, vol. XIV, seria A, Editura Universitatii din Sibiu, ISSN 1221-4930, 1995

12. VINȚAN L., BREAZU M. - Using a Multibit Technique in Multiply Algorithm, Acta Universitatis Cibiniensis, vol. XIV, seria A, Editura Universitatii din Sibiu, ISSN 1221-4930,

27

Page 28: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

pp. 47-53, 1995 – v. https://www.researchgate.net/publication/284492951_Using_a_Multibit_Technique_in_Multiply_Algorithm (lucrarea conține o demonstrație matematică originală a unui algoritm de înmulțire binară, implementat hardware în procesorul de virgulă mobilă a minisistemului de calcul Independent-102F/4M, compatibil DEC PDP 11/44, precum și o propunere de generalizare a acestuia.) „Frumoase preocupari aveai la vremea aceea. Tu chiar voiai sa faci stiinta in Romania. Eroic, ca sa zic asa, dar pana la urma ai reusit sa te cuplezi la lumea reala si sa aduci contributii notabile. Jos palaria!” (Prof. univ. dr. ing. S. Cotofana, Delft University of Technology – v. https://www.tudelft.nl/eemcs/the-faculty/departments/quantum-computer-engineering/computer-engineering/staff/sorin-cotofana/, e-mail 03.01.2019)

13. VINȚAN L. - Sisteme SIMD și MIMD: perspectiva programatorului, in revista BYTE, nr. 2 (editia romana), Editura Computer Press, Tg. Mures, ISSN 1223-9801, 1995

14. VINȚAN L. - About some RISC Processor Models with Unified Buses, Acta Universitatis Cibiniensis, vol. XXII, seria Electronica si Calculatoare, Ed. Universitatii "L. Blaga", Sibiu, ISSN 1221-4930, 1995

15. VINȚAN L. - About Two Superscalar Processor Models: Performance Evaluations, Acta Universitatis Cibiniensis, vol. XXII, seria Electronica si Calculatoare, Sibiu, ISSN 1221-4930, 1995

16. VINȚAN L. - About Some Non-Harvard Processor Models, Bul. Stiintific Universitatea "Politehnica" Timisoara, Tom 41(55), pg.134-147, ISSN 1224-600X, Timisoara, 1996

17. VINȚAN L., STEVEN G. - A New Performance Evaluation Method for Superscalar Architectures, Acta Universitatis Cibiniensis, Seria A. Electronica, Electrotehnica si Stiinta Calculatoarelor, Vol. XXVII, ISSN 1221-4930, Editura Universitatii "L. Blaga", Sibiu, 1997

18. STEVEN G., POTTER R., VINȚAN L.- Limits of Instruction Level Parallelism: A Trace Driven Simulator Approach, Acta Universitatis Cibiniensis, Seria A. Electronica, Electrotehnica si Stiinta Calculatoarelor, Vol. XXVII, ISSN 1221-4930, Editura Universitatii "L. Blaga", Sibiu, 1997

19. VINȚAN L., VINȚAN M. - A Global Approach for Two Cache Architectures, Acta Universitatis Cibiniensis, Seria A. Electronica, Electrotehnica si Stiinta Calculatoarelor, Vol. XXVII, ISSN 1221-4930, Editura Universitatii "L. Blaga", Sibiu, 1997

20. VINȚAN L. - A New Approach in Hardware Branch Prediction Evaluations, Acta Universitatis Cibiniensis, Seria A. Electronica, Electrotehnica si Stiinta Calculatoarelor, Vol. XXVII, ISSN 1221-4930, Editura Universitatii "L. Blaga", Sibiu, 1997

21. VINȚAN L., STANCULESCU D. - Basic-Block Optimisation for A Superscalar Architecture, Acta Universitatis Cibiniensis, Seria A. Electronica, Electrotehnica si Stiinta Calculatoarelor, Vol. XXVII, ISSN 1221-4930, Editura Universitatii "L. Blaga", Sibiu, 1997

22. VINȚAN L. - An Analytical Approach for Two Superscalar Cache Architectures, Buletinul Sesiunii de Comunicari Stiintifice, vol. 3, Automatica. Informatica, Hunedoara, 31 Octombrie - 1 Noiembrie, 1997

28

Page 29: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

23. VINȚAN L. - An Unified/ Split Cache Architecture Integrated into a Superscalar Processor, Buletinul Sesiunii de Comunicari Stiintifice, vol. 3, Automatica. Informatica, Hunedoara, 31 Octombrie - 1 Noiembrie, 1997

24. VINȚAN L. - A Two Level Branch Predictor for a Superscalar Architecture, Buletinul Sesiunii de Comunicari Stiintifice, vol. 3, Automatica. Informatica, Hunedoara, 31 Octombrie - 1 Noiembrie, 1997

25. VINȚAN L. - O abordare cantitativa in predictia instructiunilor de ramificatie, in revista "ELSE", Universitatea din Craiova, 1997

26. VINȚAN L. - Optimizari ale interfetei procesor - memorie in arhitecturile RISC superscalare, in revista "ELSE", Universitatea din Craiova, 1997

27. VINȚAN L. - Investigating some Branch Prediction Schemes, Bul. Stiintific, Universitatea "Politehnica" Timisoara, Tom 43(57), pg. 31-39, ISSN 1224-600X, Timisoara, 1997

28. VINȚAN L. - About some Memory Hierarchy Limitations in Superscalar Architectures, Bul. Stiintific Universitatea "Politehnica" Timisoara, Tom 43(57), pg. 19-30, ISSN 1224-600X, Timisoara, 1997

29. VINȚAN L., ARMAT C. - Microprocesoare superscalare. Principii, realizari comerciale, perspective, în revista PC-Report, pg.40-46, Editura Computer Press Agora, ISSN 1220-9856, decembrie, 1997

30. VINȚAN L. - Investigatii asupra limitelor de paralelism, in revista BYTE Romania (editia romana), Editura Computer Press Agora, ISSN 1223-9801, martie, 1998

31. VINȚAN L. - Memoria virtuală, Gazeta de Informatica, ISSN 1222-7129, vol. 8, nr. 4-5, pg. 36-39, Editura Computer Press Agora, 1998

32. VINȚAN L. - Strategii hardware de predictie a branch-urilor, in revista BYTE Romania (editia romana), pg. 57-70, Editura Computer Press Agora, ISSN 1223-9801, septembrie, 1998

33. VINȚAN L., VINȚAN M. – Some Analytical Approaches for Two Superscalar Cache Architectures, Acta Universitatis Cibiniensis, Technical Series. Electronics, Electrical Engineering and Computer Science, vol. XXXI, ISSN 1221-4930, Ed. Universității “L. Blaga” din Sibiu, 1998

34. VINȚAN L., ARMAT C. – Selective Victim Cache: Some Optimizations through a Simulation Approach, Acta Universitatis Cibiniensis, Technical Series. Electronics, Electrical Engineering and Computer Science, vol. XXXI, ISSN 1221-4930, Ed. Universității “L. Blaga” din Sibiu, 1998

35. VINȚAN L., BREAZU I. – Branch Prediction into an RISC Environment, Acta Universitatis Cibiniensis, Technical Series. Electronics, Electrical Engineering and Computer Science, vol. XXXI, ISSN 1221-4930, Ed. Universității “L. Blaga” din Sibiu, 1998

29

Page 30: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

36. VINȚAN L. - Memorii cache, Gazeta de Informatica, ISSN 1222-7129, vol. 9, nr. 6, pg. 36-39, Editura Computer Press Agora, 1999

37. VINȚAN L. - Generația următoare, in revista PC-Report, nr. 3, Editura Computer Press Agora, ISSN 1220-9856, martie, 2000

38. VINȚAN L. - Procesorul IA-64: intre evolutie si revolutie, in revista PC-Report, nr. 5, Editura Computer Press Agora, ISSN 1220-9856, mai, 2000

39. VINȚAN L. - Microprocesoare multithread, in revista NET-Report, nr. 109, Editura Agora Media, ISSN 1582-4497, octombrie, 2001

40. VINȚAN L. - Reutilizare, predictie si speculatie, in revista NET-Report, nr. 111, pg. 15-20, Editura Agora Media, ISSN 1582-4497, decembrie, 2001

41. VINȚAN L., SBERA M., FLOREA A. – Pre-computed Branch Prediction, Acta Universitatis Cibiniensis, Technical Series. Computer Science and Automatic Control, pg. 91-100, vol. XLIII, ISSN 1221-4949, Ed. Universitatii “L. Blaga” din Sibiu, 2001

42. SBERA M., VINȚAN L., FLOREA A. – Static and Dynamic Branch Prediction, Acta Universitatis Cibiniensis, Technical Series. Computer Science and Automatic Control, pg. 81-86, vol. XLIII, ISSN 1221-4949, Ed. Universitatii “L. Blaga” din Sibiu, 2001

43. VINȚAN L. N. – Predictia valorilor instructiunilor, in revista NET-Report, nr. 115, pg. 11-17, Editura Agora Media, ISSN 1582-4497, Tg. Mures, aprilie 2002

44. D. MORARIU, L. VINȚAN – Kernel’s Correlation for Improving SVM in Text Documents’ Classification, Acta Universitatis Cibiniensis, Technical Series. (Computer Science and Automatic Control), pg. 90-97, vol. LV, ISSN 1583-7149, “L. Blaga” University of Sibiu, 2007 (an extended version of paper [B46])

45. A. FLOREA, C. RADU, H. CALBOREAN, A. CRAPCIU, A. GELLERT, L. VINȚAN – Understanding and Predicting Unbiased Branches in General Purpose Applications, Buletinul Institutului Politehnic Iaşi, Tom LIII (LVII), Fasc. 1-4, pg. 97-112, 2007

46. VINȚAN L. N. – De la predicţia salturilor condiţionate la o întrebare fundamentală: ce este aleatorul? (From Branch Prediction to a Fundamental Question: What is Random?), Educaţia matematică – Mathematical Education, Vol. 4, Nr. 1 (2008), pg. 15 – 31, ISSN 1583 – 9826, Univ. “L. Blaga” din Sibiu, v. http://depmath.ulbsibiu.ro/educamath/em/vol4nr1/vințan/vințan.pdf

47. VINȚAN L. N., FLOREA A., GELLERT A. - Forcing some architectural ceilings of the actual processor paradigm (part I, II), Lucrările celei de-a 3-a Conferinţe Naţionale a Academiei de Ştiinţe Tehnice din România, pg. 233-246, ISBN 978-973-713-223-9, Editura Mediamira, Cluj-Napoca, 12-13 noiembrie 2008

48. L. N. VINŢAN - Direcţii de cercetare în domeniul sistemelor multicore / Main Challenges in Multicore Architecture Research, Revista Romana de Informatica si Automatica, ISSN: 1220-1758, ICI Bucuresti, vol. 19, nr. 3, 2009, v. http://www.ici.ro/RRIA/ria2009_3/index.html

30

Page 31: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

49. R. G. CREŢULESCU, D. I. MORARIU, M. BREAZU, L. N. VINŢAN - Using Genetic Algorithms for Weights Space Exploration in an Eurovision-like weighted Meta-Classifier, Proceedings of The Second International Conference on Information Science and Information Literacy, CD and Conference Abstracts (pp. 29) ISSN 2247-0255, 14-15 April 2011, Sibiu, Romania

50. H. CALBOREAN, L. VINȚAN - Framework for Automatic Design Space Exploration of Computer Systems, Acta Universitatis Cibiniensis. Technical Series, vol. LXIII, ISSN 1583-7149, pp. 9-15, “L. Blaga” University of Sibiu, 2012 (acceptat in urma sustinerii in fata comisiei stiintifice aferente Conferintei nationale a doctoranzilor din tara, tinuta la ULB Sibiu in anul 2011)

51. C. RADU, L. VINȚAN - UNIMAP: Unified Framework for Network-On-Chip Application Mapping Research, Acta Universitatis Cibiniensis. Technical Series, vol. LXIII, ISSN 1583-7149, pp. 64-70, “L. Blaga” University of Sibiu, 2012 (acceptat in urma sustinerii in fata comisiei stiintifice aferente Conferintei nationale a doctoranzilor din tara, tinuta la ULB Sibiu in anul 2011) - http://www.degruyter.com/view/j/aucts

52. CRETULESCU R., MORARIU D., VINȚAN L. - Clustering Text Documents: An Overview, Acta Universitatis Cibiniensis. Technical Series, vol. LXIII, ISSN 1583-7149, pp. 28-35, “L. Blaga” University of Sibiu, 2012 (acceptat in urma sustinerii in fata comisiei stiintifice aferente Conferintei nationale a doctoranzilor din tara, tinuta la ULB Sibiu in anul 2011)

53. L. N. VINŢAN - Spre o mai adecvată ierarhizare a valorilor în sistemul academic românesc (Towards a more adequate “axiology” in the Romanian academic system), Revista de politica stiintei si scientometrie – serie noua, ISSN-L 1582-1218, Vol. 3, No. 1, pg. 55-59, martie 2014, v. http://rpss.inoe.ro/articles/spre-o-mai-adecvata-ierarhizare-a-valorilor-in-sistemul-academic-romanesc (cotata CNCSIS B+ cf. http://uefiscdi.gov.ro/UserFiles/File/Indicatorul%20IC6%20-%20Prezentare/Reviste%20B_B+.pdf; Indexată in BDI SCIPIO – Scientific Publishing and Information Online, v. http://www.scipio.ro/web/revista-de-politica-a-stiintei-si-scientometrie)

54. L. N. VINŢAN - Despre trucarea valorilor științifice (About the scientific values’ falsification), Revista de politica stiintei si scientometrie – serie noua, ISSN-L 1582-1218, Vol. 3, No. 4, pg. 304-309, 2014, v. http://rpss.inoe.ro/articles/despre-trucarea-valorilor-stiintifice (cotata CNCSIS B+ cf. http://uefiscdi.gov.ro/UserFiles/File/Indicatorul%20IC6%20-%20Prezentare/Reviste%20B_B+.pdf; indexată in BDI SCIPIO – Scientific Publishing and Information Online, v. http://www.scipio.ro/web/revista-de-politica-a-stiintei-si-scientometrie)

55. L. N. VINŢAN - Computing Systems Multi-Objective Optimization using Domain-Knowledge, Buletinul AGIR (Supliment), an XX, vol. 2, pp. 74-79, ISSN-L 1224-7928, Online: ISSN 2247-3548, Editura AGIR, Bucuresti, 2015; indexat BDI: INDEX COPERNICUS INTERNATIONAL, ACADEMIC KEYS, getCITED, CNCSIS B+ (cod 415), v. http://www.agir.ro/buletine/2274.pdf

56. L. N. VINŢAN - Educația universitară în ingineria calculatoarelor: spre o abordare cultural-științifică (Academic Education in Computer Engineering: Towards a Cultural-

31

Page 32: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Scientific Approach), Revista de politica stiintei si scientometrie – serie noua, ISSN-L 1582-1218, Vol. 4, No. 3, pg. 204-208, septembrie 2015; (cotata CNCSIS B+ cf. http://uefiscdi.gov.ro/UserFiles/File/Indicatorul%20IC6%20-%20Prezentare/Reviste%20B_B+.pdf; Indexată in BDI SCIPIO – Scientific Publishing and Information Online, v. http://www.scipio.ro/web/revista-de-politica-a-stiintei-si-scientometrie); disponibil online la http://rpss.inoe.ro/articles/educatia-universitara-in-ingineria-calculatoarelor-spre-o-abordare-cultural-stiintifica

57. L. N. VINŢAN - Dynamic Neural Branch Prediction Fundamentals, Buletinul AGIR, an XXI, no. 1, pp. 64-71, ISSN-L 1224-7928, Online: ISSN 2247-3548, Editura AGIR, București, ianuarie – martie, 2016; indexat BDI: INDEX COPERNICUS INTERNATIONAL, ACADEMIC KEYS, getCITED, CNCSIS B+ (cod 415), v. http://www.agir.ro/buletine/2501.pdf, https://www.researchgate.net/publication/333729309_Dynamic_Neural_Branch_Prediction_Fundamentals

58. L. N. VINŢAN - Fundamente ale optimizării multi-obiectiv a sistemelor complexe de calcul, Buletinul AGIR, an XXI, nr. 4, pp. 77-84, ISSN-L 1224-7928, Online: ISSN 2247-3548, Editura AGIR, București, octombrie – decembrie, 2016; indexat BDI: INDEX COPERNICUS INTERNATIONAL, ACADEMIC KEYS, getCITED, CNCSIS B+ (cod 415, v. http://old.uefiscdi.ro/userfiles/file/IC6%202011/Reviste%20romanesti%20recunoscute%20de%20CNCSIS-%20categoria%20B_plus.pdf), v. http://www.agir.ro/buletine/2744.pdf

59. Lucian N. VINŢAN – Educația universitară sub semnul întrebării: ce repere umane aveți în viață?, „EDUCAŢIA ŞI CERCETAREA ROMÂNEASCĂ. Starea prezentă şi perspectiva”, Workshop Universitatea din București, 30 martie 2017, pp. 116-134, ISBN: 978-606-17-1284-7, Editura Casa Cărții de Știință, Cluj-Napoca, 2018, v. http://www.casacartii.ro/detalii_2089_Educatia-si-cercetarea-romaneasca-Starea-prezenta-si-perspectiva.html (acreditată CNCSIS – v. http://cncsis.gov.ro/userfiles/file/CENAPOSS/Edituri_arhiva_2010.pdf, Google Scholar). Disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/X.pdf. Cota Biblioteca ULBS 37/E19.

60. L. N. VINŢAN – Asupra unor breșe de securitate în microprocesoarele actuale, Buletinul AGIR (apare din ianuarie-februarie 1919), an XXIII, nr. 2, pp. 55-65, ISSN-L 1224-7928, Editura AGIR, București, aprilie – iunie, 2018. Este disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Brese.pdf respectiv la http://www.agir.ro/buletine/2966.pdf. Revistă indexată BDI: INDEX COPERNICUS INTERNATIONAL, ACADEMIC KEYS, getCITED, CNCSIS B+ (cod 415, v. http://old.uefiscdi.ro/userfiles/file/IC6%202011/Reviste%20romanesti%20recunoscute%20de%20CNCSIS-%20categoria%20B_plus.pdf).

61.

C bis. ALTE LUCRĂRI PUBLICATE

1. L. VINȚAN – Problema 22452, Gazeta Matematică (redactor șef acad. Nicolae Teodorescu), nr.9/1991, anul XCVI, pg. 353, ISSN 0016-5441, Societatea de Ştiinţe

32

Page 33: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Matematice din România (problemă publicată și în revista „Astra matematică”, vol. 1, nr. 3, iunie 1990, Sibiu, editor ASTRA)

2. L. VINȚAN – Cuvânt înainte la cartea “Afacerile mici si mijlocii” (autori G. Ionaș, M. Țuțurea), Editura Tehnică București, 1999 (ISBN 973-31-1416-2)

3. VINȚAN L., Toacşe Gh., Bodea M. – Mediu de instruire pentru întreprinderile mici și mijlocii, lucrarile simpozionului “Educatie Continua”, Brașov, 21-28 Mai 2000, ISBN 973-99678-1-7, Editura Haco International, 2000

4. L. VINȚAN – Gânduri de seară despre știința computerului…, in revista NetReport nr.108, septembrie 2001, Ed. Agora Media, Tg. Mures; o versiune adaugita a fost publicata in revista Euroeconomia XXI (Rondul de Sibiu), nr. 33, Sibiu, 7 oct. 2005, ISSN 1841-0707

5. L. VINȚAN – “Fertilitatea științifică” și axiologia cercetării românești, in revista Euroeconomia XX (Rondul de Sibiu), nr. 34, Sibiu, 14 octombrie 2005, ISSN 1841-0707

6. L. VINȚAN – Disoluţia universităţilor româneşti, în revista Euroeconomia XXI (Rondul de Sibiu), nr. 43, Sibiu, 16 decembrie 2005, ISSN 1841-0707

7. L. VINȚAN – Prefaţă (6 pg.), la cartea intitulată “Predictia dinamica a valorilor in microprocesoarele generaţiei următoare”, autor A. Florea, Editura Matrix Rom, Bucuresti, 2005

8. VINȚAN L. – Calitatea cercetării prin abordări scientometrice, Euroeconomia XXI, ISSN 1841-0707, nr. 53, Sibiu, 24 februarie 2006

9. VINȚAN L. – Gânduri către absolvenţi..., Euroeconomia XXI, ISSN 1841-0707, nr. 73, Sibiu, 14 iulie 2006

10. VINȚAN L. – Un român..., ziarul “Gândul”, an II, nr. 389, 8 august 2006

11. VINȚAN L. – România fractală, Euroeconomia XXI, ISSN 1841-0707, nr. 78, Sibiu, 18 august 2006

12. VINȚAN L. – Pilule amare, Euroeconomia XXI, ISSN 1841-0707, nr. 86, Sibiu, 13 octombrie 2006

13. C. Oprean (ed.), L VINȚAN ş.a. – Metode şi tehnici ale cunoaşterii ştiinţifice, subcap. 3.5.1, 3.5.4.4, 3.5.6, (reprezintă 16 pagini, fiind contribuția L. Vințan), Editura Universităţii L. Blaga, ISBN (10) 973-739-284-1, Sibiu, 2006 (cota Bibl. ULBS 52.530); contribuția subsemnatului la această lucrare este dată de articolul intitulat "Scrierea și publicarea științifică”, disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf

14. L. N. VINȚAN – Maeştri ai ingineriei calculatoarelor. Pagini de istorie, Univers ingineresc, anul XVIII, nr. 16 (398), pg.4-5, 16-31 august 2007

15. L. VINȚAN – Prefaţă (7 pg.), la cartea intitulată “Text Mining Methods based on Support Vector Machine”, autor D. I. Morariu, Editura Matrix Rom, Bucuresti, 2008

16. L. VINȚAN – Prefaţă (Foreword, 12 pg.), la cartea intitulată “Beyond the Limits of Modern Processors”, ISBN 978-973-755-426-0, autor Á. Gellért, Editura Matrix Rom, Bucuresti, 2008

17. Lucian VINȚAN – Problema nr. 3, clasa a 12-a, Concursul interjudețean "Matematica, de drag", Editia a V-a, 19-21 noiembrie 2010, Colegiul national "Liviu Rebreanu", Bistrita (în legătură cu problema deschisă nr. 2, pg. 33, G.M. nr. 1/1979, autor prof. univ. dr. Al. Lupaş) - v. http://epsilon.ro/wp-content/uploads/2010/10/subiecte-2010.pdf si http://epsilon.ro/wp-content/uploads/2010/10/bareme-2010.pdf. Problema a fost publicată și

33

Page 34: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

în Gazeta Matematică, seria B, nr. 2/2011, ISSN 1584-9333, pg. 76 – autor L. Vințan, Societatea de Ştiinţe Matematice din România

18. Lucian VINȚAN – Problema 26392, Gazeta Matematică, seria B, nr.12/2010, pg. 606, ISSN 1584-9333, Societatea de Ştiinţe Matematice din România (rezolvata in G.M. nr.6/2011, pg. 310-311; dată la Concursul “Gh. Lazăr”, Sibiu, cls. a XI-a, martie 2011 – v. G.M. nr.6/2011, pg. 300; publicata si in lucrarea: D. Acu et al – Concursul interjudetean de matematica “Gheorghe Lazar”, pg. 84, Editura Asociatiunii Astra, Sibiu, 2014, ISBN 978-606-8482-05-7)

19. Lucian VINȚAN – O rezolvare vectorială a unei probleme, Gazeta Matematică, seria B, nr.1/2011, pg. 9-10, ISSN 1584-9333, Societatea de Ştiinţe Matematice din România (notă matematică)

20. Lucian VINȚAN – Prefaţă (7 pg.), la cartea intitulată “TEXT MINING. TEHNICI DE CLASIFICARE ŞI CLUSTERING AL DOCUMENTELOR”, autori R. G. Cretulescu, D. I. Morariu, Editura Albastră, ISBN 978-973-650-289-7, Cluj-Napoca, 2012

21. Lucian VINȚAN – Spre o “instituție a profesorului” în universitățile românești, Contributors.ro. Texte cu valoare adaugata, 27.09.2013 (disponibil la adresa de internet http://www.contributors.ro/editorial/spre-o-institu%c8%9bie-a-profesorului-in-universita%c8%9bile-romane%c8%99ti/); preluat http://www.hotnews.ro/stiri-opinii-15667532-spre-institutie-profesorului-universitatile-romanesti.htm etc. Peste 3400 de vizualizări până la 20.10.2018.

22. VINȚAN L. – LBUS Framework for Multi-Objective Optimization using Domain-Knowledge, HiPEAC Info, no. 41, January 2015, pp. 44-45, v. https://www.hipeac.org/assets/public/publications/newsletter/hipeacinfo41t.pdf; https://www.hipeac.org/assets/public/publications/newsletter/hipeacinfo41.pdf; HiPEAC Info is a quarterly newsletter published by the HiPEAC Network of Excellence, funded by the 7-th European framework programme (fp7) under contract no. fp7/ict 287759

D. GRANTURI/CONTRACTE DE CERCETARE CÂȘTIGATE ȘI FINALIZATE

1. VINȚAN L. (director grant) – Sistem automat de evaluare și optimizare a arhitecturilor paralele de tip ILP, Grant CNCSU, Tema 4, 391/1998 – 10 milioane lei

2. VINȚAN L. (director grant) - Cercetări privind interfața procesor- memorie și predicția ramificațiilor într-o arhitectură de calcul cu paralelism la nivelul instrucțiunilor, Grant M.C.T. - 4086/26.11.1998 - 22 mil. lei

3. VINȚAN L. (director grant) - Cercetări privind conceptul novator de predictor neural de ramificații, integrabil în procesoarele paralele, Grant CNCSIS, cod 489/1999 – 8 mil. lei

4. VINȚAN L. (director grant) – Cercetare critică privind predicția ramificațiilor; evaluări cantitative pe bază de simulare, Grant M.C.T.- 4086/26.11.1998 (continuare grant poz. 2 pe 1999) – 35 mil. lei

5. VINȚAN L. (director grant) – Investigații arhitecturale cu privire la modelarea și simularea unor concepte novatoare de procesare, implementabile în microprocesoarele avansate (Raport științific - Bibl.ULBS), Grant CNCSIS, cod 8/2000 – 25 mil. lei

34

Page 35: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

6. VINȚAN L. (director grant) – Abordări integratoare în arhitecturile de procesare cu paralelism la nivel de instrucțiuni (I), Grant ANSTI – 6229 (B18) / 10.11.2000 - 25 mil. lei

7. VINȚAN L. (director grant) – Cercetări cu privire la elaborarea unei arhitecturi neconvenționale de procesare de mare performanță, Grant CNCSIS nr.34965, cod 8 / 2001 – 40,05 mil. lei (propunerea a fost evaluată cu 92.66/100 puncte)

8. VINȚAN L. (director grant) – Abordări integratoare în arhitecturile de procesare cu paralelism la nivel de instrucțiuni (II), Grant ANSTI 6229, Continuare Grant 12/05.06.2001 (v. poz. 6) - 30 mil. lei

9. VINȚAN L. (membru echipa cercetare; director: Prof.dr.ing. C. Oprean) – Soluție e-bussiness pentru mediul academic universitar, INFOSOC 34, 07.11.2001 - 600 mil. lei

10. VINȚAN L. (director grant) – Imbunătățiri ale paradigmei arhitecturilor superscalare prin reutilizarea și predicția valorilor instrucțiunilor, Grant CNCSIS cod 71/2004 – 2006 (3 ani) – 140 mil. lei + 120 mil. lei + 100 mil. lei = 360 mil. lei

11. VINȚAN L. (director grant) – Microarhitectură superscalară avansată cu procesări paralele și predictiv - speculative, Grant CNCSIS tip A, cod 39, 2007/2008 (2 ani), 174.472 RON. Propunerea a fost clasată pe locul 4/740 în domeniul “știinte inginerești”, fiind clasată prima în sub-domeniul vizat, anume “ Calculatoare și tehnologia informației ” , cu 96.33 / 100 puncte. Clasamentul final disponibil online la adresa: http://uefiscdi.gov.ro/userfiles/file/granturi/2007/proces%20de%20evaluare%20-%20tip%20A%202007/COMISIA_2_A_NOI_FINANTATE.htm. Raport final disponibil online la adresa http://194.102.64.7/GranturiFinalizate/faces/Projects/ProjectsList.jsp.

12. A. Gellert (director grant), Metode avansate de predicţie integrate în arhitecturi cu procesări speculative, Grant CNCSIS tip TD (tineri doctoranzi), cod 248, 2007/2008, 28.428 lei. (In calitate de conducator de doctorat al directorului de proiect am participat la initierea si elaborarea acestui grant, focalizat pe tema sa de doctorat, prin activitati de consultanta si control stiintific etc.)

13. VINȚAN L. (membru in echipa cercetare, director: prof. univ. dr. ing. Maria Vințan) - Extinderea paradigmei analizei scurtcircuitelor monofazate în rețelele electrice de înaltă tensiune, prin metode clasice și euristice, Grant CNCSIS 485, 510.320 lei, 2009-2011

14. D. Morariu (director grant), L. VINȚAN (“conducator de stagiu postdoctorat”, “mentor”) - Sistem de clasificare automată a datelor nestructurate folosind metaclasificatoare bazate pe metode de tip Support Vector Machine și Naive Bayes, Grant CNCSIS tip PD nr. 27/04.08.2010, 08.2010 - 07.2012, buget 130.540 lei (2010- 29.000 lei, 2011 – 63.040 lei, 2012 – 38.500 lei)

15. VINȚAN L. (membru in echipa cercetare, director: Prof. dr. Koen de Boschere, Ghent University, Belgium), FP7 European Network of Excellence on High Performance and Embedded Architecture and Compilation (HiPEAC-3), 2012-2016, budget 3.800.000 Euros, v. - https://www.hipeac.net/members/; https://www.hipeac.net/~lucian.vintan/

35

Page 36: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

16. VINȚAN L. - Partener în proiectul European intitulat COST Action IC1302, semantic KEYword-based Search on sTructured data sOurcEs, 2015, v. http://www.keystone-cost.eu/keystone/members/; http://www.keystone-cost.eu/keystone/author/lucian-vințan/

D1. GRANTURI ACADEMICE CÂȘTIGATE ȘI FINALIZATE

VINȚAN L. - Bursă individuală European Commission TEMPUS IMG-95-RO-2011 Grant, desfășurată la Universitatea Hertfordshire, Department of Computer Science, U.K. (England), în perioada martie-mai 1996 (3 luni), cca. 3000 lire sterline, pe baza invitației acestei universități (Laboratorul de arhitecturi de calcul avansate condus de prof.dr. Gordon B. Steven)

VINȚAN L. - Coordonator general al proiectului internațional European Commission TEMPUS JEP AC 13559/1998 ("Retraining Support for Small and Medium Enterprises"), perioada 1998 - 2000, în valoare de peste 200.000 ECU-Euro (cca. 70.000 Euro la ULB Sibiu), în colaborare cu universități din: București ("Politehnica"), Brașov ("Transilvania"), Anglia (De Montfort, Leicester), Irlanda (Galway), Spania (Autonoma Barcelona) și Germania (Karlsruhe)

E. ALTE LUCRARI ELABORATE

E1. Având caracter profesional / științific

Lucian VINȚAN - Sistem multi-microprocesor cu CPU MC 68000 realizat în jurul magistralei VME standard, Proiect de diplomă, coordonator: prof. univ. dr. ing. Vasile POP (v. https://cs.upt.ro/ro/people/profile/vasile-pop), Institutul Politehnic "Traian Vuia" din Timișoara, Facultatea de Electrotehnică, specializarea de Calculatoare (echivalent master), iunie 1987 (notat cu 10). Am proiectat și am implementat memoria comuna (DRAM) a sistemului multiprocesor, împreună cu logica de control aferentă (inclusiv automatul de regenerare), precum și arbitrul de magistrală VME, sub forma unui automat secvențial sincron, realizat cu ajutorul unei memorii PROM 74188 (32x8 biți), care memora stările următoare si comenzile corespunzătoare. (VME bus = Versa Module Europa sau Versa Module Eurocard bus.)

1. VINȚAN L., MIHU I. - Emularea unor microprocesoare RISC prin microprogramare dinamica, Conferinta stiintifica OPTIM '91, Brasov, 10-12 octombrie, 1991 (comunicare)

2. VINȚAN L., MIHU I. - Tehnici de microdiagnoza pe minisistemul I-102F/4M, Conferinta stiintifica OPTIM '91, Brasov, 10-12 octombrie, 1991 (comunicare)

3. VINȚAN L. - Probleme actuale in proiectarea structurilor de calcul pipeline si superscalare, Referat de doctorat nr. 1, Universitatea "Politehnica" Timișoara, februarie 1996 (83 pg.)

4. VINȚAN L. - Evaluari de performanta in cadrul arhitecturilor cu paralelism la nivelul instructiunilor, Referat de doctorat nr. 2, Universitatea "Politehnica" Timișoara, noiembrie 1996 (57 pg.)

36

Page 37: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

5. VINȚAN Lucian N. - Contribuții la optimizarea arhitecturilor cu paralelism la nivelul instrucțiunilor, Teză de doctorat, Universitatea "Politehnica" Timișoara, Facultatea de Automatică și Calculatoare, Timișoara, (168 pagini), 9 iulie 1997 (conducător științific prof. univ. dr. ing. Crişan STRUGARU, m. o. al Academiei de Științe Tehnice din România – http://aspc.cs.upt.ro/astr/index.php?page=membri&nume=Strugaru sau https://cs.upt.ro/ro/people/profile/crisan-strugaru; Referenţi: prof. univ. dr. ing. Mircea PETRESCU, m. o. al Academiei Române - UP Bucureşti (http://www.astr.ro/membri/petrescu-mircea-stelian_180), prof. univ. dr. ing. Kalman PUSZTAI – UT Cluj-Napoca, prof. univ. dr. ing. Alexandru VALACHI – UT “Gh. Asachi” Iaşi, prof. univ. dr. ing. Gheorghe TOACŞE – U. “Transilvania” Braşov, prof. univ. dr. ing. Mircea STRATULAT – UP Timişoara); Biblioteca BNR: http://aleph.bibnat.ro:8991/F/7382SKEI8Q6ULRQ467EQYPBSK4YLV6B2RDQSN8N224TRK515J2-34125?func=find-acc&acc_sequence=000620756

6. VINȚAN L. – Instruction Level Parallel Architectures: Where We are Today, Present Challenges, Future Research Trends, (Invited Talk), The 10th International Symposium on Computers and Informatics SINTES – 10, 25-26 May, 2000, Craiova, Romania

7. VINȚAN L. – Autonomic Computing – A Short Synthesis, Technical Report, University of Augsburg, Germany, July, 2003 (4 pages)

8. VINȚAN L. – Value Prediction and Speculation. A Synthesis and Some Related Ideas to Smart Doorplate Context Predictor, Technical Report, University of Augsburg, Germany, July, 2003 (26 pages); v. The Smart Doorplates Project - https://www.informatik.uni-augsburg.de/en/chairs/sik/research/finished/smartdoorplate/

9. VINȚAN L., Gellert A., Petzold J., Ungerer T. – Person Movement Prediction Using Neural Network, Technical Report 2004-10, Institute of Computer Science, University of Augsburg, Germany, 2004

9bis. Jan Petzold, Theo Ungerer, Lucian Vințan, Arpad Gellert – Comparative Study of Location Prediction by Neural Network and State Predictor Methods, Augsburg University, 2004

10. VINȚAN L. – Procese predictive si speculative in microarhitecturile generației urmatoare, Expunere științifică (invitat al Sectiei de stiinta si tehnologia informatiei a Academiei Române, președinte acad. M. Drăgănescu – v. http://www.racai.ro/about-us/dragam/#curriculum-vitae), Academia Română, 26 ianuarie 2004

11. VINȚAN L. – Reutilizare, predictie si speculatie in microarhitecturile generatiei urmatoare (Dynamic Reuse, Value Prediction and Speculation into the Next Microprocessors Generation), Expunere stiintifica in plen (invitat), International Symposium on Automation Control and Computer Science (SACCS 2004), Iasi, 2004

11.bis VINȚAN L. – Young Researchers – The Academic Research “Engine”. Some Specific Problems in Romania (Tinerii universitari – “motorul” cercetarii academice de pretutindeni. Cateva probleme specifice Romaniei), Invited Talk, CNCSIS-7 Conference, Bucharest, May 2005 – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/CNCSIS.pps

37

Page 38: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

12. VINȚAN L. – Anticipatory Techniques in Advanced Processor Architectures, Invited Talk, University of Augsburg, Chair of Systems and Networking Institute of Computer Science (prof. univ. dr. hab. Theo Ungerer), February 18th 2010, v. http://www.math.uni-augsburg.de/Math-Net/VK/docs/2010/02/18_Do_07_00.html si http://webspace.ulbsibiu.ro/lucian.vintan/html/#11

13. VINȚAN L. – Paralelism, predicţie şi speculaţie în calculatoarele avansate, TEDx Sibiu, Hotel Ibis, Sibiu, 29 octombrie 2010 - http://www.tedxsibiu.ro/; v. filmul pe YouTube la http://www.youtube.com/watch?v=2mx1Qpiw9jk (peste 1200 de vizualizari pana in anul 2017)

14. VINȚAN L. – Înscrierea cercetării doctorale româneşti într-o paradigmă universală, expunere invitata in cadrul proiectului POSDRU /88/1.5/S/60370, beneficiar ULBS, Sibiu, 17.12.2010 (http://www.adevarul.ro/locale/sibiu/Doctoranzii_ULBS_au_fost_chemati_in_banci_sa_invete_despre_legislatia_si_politicile_UE_0_393560732.htm; v. si revista "Update" nr. 1/ianuarie 2011, Newsletter al ULB Sibiu, http://www.ulbsibiu.ro/myaccount/src/file.php?file=file1&news=true&id=1353)

15. CREȚULESCU R., MORARIU D., VINȚAN L. – Ongoing Research in Document Classification at the „Lucian Blaga” University of Sibiu, 5th International Symposium on Intelligent Distributed Computing, Workshop: News from Project I, Delft, October 5 th 2011, http://idc-2011.d-cis.nl/idc/idc-2011/program

16. Lucian VINȚAN – Automatic Multi-Objective Optimization of Mono-Core and Multi-Core Architectures using Domain-Knowledge (66 PPT slides), Invited Scientific Presentation, CONTINENTAL R&D Sibiu Branch, March 4th 2013 – disponibila la adresa http://webspace.ulbsibiu.ro/lucian.vintan/html/Conti.pdf

17. Lucian VINȚAN – Multi-Objective Optimization of Advanced Micro-Architectures using Domain-Knowledge, Invited Scientific Presentation, International HiPEAC Workshop, “Lucian Blaga” University of Sibiu, April 2nd 2013

18. VINȚAN L. – Paralelism, predicţie şi speculaţie în calculatoarele avansate, expunere invitata (22 slide-uri), Colegiul National “Gheorghe Lazăr”, Sibiu, 7 iunie 2013

19. VINȚAN L. – Despre valorificarea adecvată a cercetării științifice autentice, prezentare invitata pentru doctoranzii ULBS (7 ore), Universitatea „Lucian Blaga” din Sibiu, 19-20 iunie 2013 – v. http://doctorate.ulbsibiu.ro/obj/documents/Suport-curs-Prof-Vințan.pdf

20. GELLERT A., CALBOREAN H., FLOREA A., VINȚAN L. – Selective Load Value Prediction, Technical Report, High-Performance Computing Infrastructure for South East Europe’s Research Communities, HP-SEE Consortium, FT19757-13/03/04, 2013, v. http://wiki.hp-see.eu/index.php/SLVP

21. Lucian VINȚAN – LBUS Framework for (Computer Architecture) Multi-Objective Optimization using Domain Knowledge (http://www.cs.upt.ro/hipeac/presentations/HiPEAC_Timisoara_Lucian_Vințan.pdf), Invited

38

Page 39: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Scientific Presentation, International HiPEAC Workshop, “Politehnica” University of Timisoara, February 12th 2014 – v. http://www.cs.upt.ro/hipeac/index.html

22. L. VINȚAN – Deontologia cercetarii si creatiei stiintifice, prelegere invitata, POSDRU/159/1.5/S/137070 "Cresterea atractivitatii si performantei programelor de formare doctorala si postdoctorala pentru cercetatori in stiinte ingineresti - ATRACTING", U.P. Timisoara, 24 - 25 februarie 2015, disponibila online la http://webspace.ulbsibiu.ro/lucian.vintan/html/UPT_2015.pdf (v. http://www.upt.ro/img/files/posdru/id137070/workshoptm/150211_Workshop_Program%20preliminar_v2.pdf)

23. VINȚAN L. – Elemente de axiologia cercetării științifice, prezentare invitata pentru doctoranzii ULBS (7 ore), Universitatea „Lucian Blaga” din Sibiu, 25-26 iunie 2015 – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Drd.pdf

24. Lucian VINȚAN - LBUS Advanced Computer Architecture and Processing Systems Research Lab: Some Ongoing Research Projects (16 PPT slides), Invited Presentation, VISMA Software SRL, Sibiu, November 17th 2016 (la invitatia si cu participarea d-lui Kurt Swakhoven - Development Director at Visma Software International AS, Oslo, Norway)

25. Lucian VINȚAN – Computing Systems Pareto Multi-Objective Optimization Methods: Our Experience (47 PPT slides), Invited Presentation, CONTINENTAL R&D Sibiu Branch, February 21st 2017 (la invitatia si cu participarea Dr. Adrian Traskov, Manager Integrated Circuits Development, Continental Division Chassis & Safety, BU VED, Frankfurt si ing. Andrei Marginas – Continental Sibiu)

26. L. VINȚAN – Un stâlp al școlii timișorene de calculatoare: Profesorul Crișan Strugaru, evocare rostită cu prilejul aniversării semicentenarului primei școli de ingineria calculatoarelor din România, UP Timișoara, 21.10.2016, disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/S.pdf.

27. L. VINȚAN – Predicția neuronală a instrucțiunilor de ramificație (neural branch prediction), după 20 de ani de la “naștere”. Impact academic, industrial și medical, 08.05.2019, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Impact.docx

E2. Având caracter didactic

1. VINȚAN L. – Proiectarea cu microprocesoare. Îndrumar de laborator - pentru uzul studentilor (printat imprimantă), Universitatea "L. Blaga" din Sibiu, 1996

2. VINȚAN N. LUCIAN – Proiectarea cu microprocesoare. Note de curs, Sibiu, 1997 (90 pg., print)

F. CITĂRI ALE LUCRĂRILOR SUBSEMNATULUI PUBLICATE ÎN LUCRĂRI ALE ALTOR AUTORI (SELECȚIE)

V. si http://scholar.google.com/citations?user=9NiMZo4AAAAJ&hl=ro 1. Lucrarea subsemnatului [B12] a fost citată în lucrarea: Chang M., Chou Y. - Branch prediction using both global and local branch history information IEE Proceedings -

39

Page 40: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Computers and Digital Techniques (actualmente IET Computer and Digital Techniques, ISSN 1751-8601) vol. 149, No. 2, United Kingdom, March 2002; Scor relativ de influență (SRI) = 0,369449378330373 (în anul 2012, cf. CNCS – v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/)

2. Krishna K. Maheshwari, Branch Processor: the control processor, Master of Engineering project report (Electrical), Cornell University, 2002

3. [B12] “Employing both inter-branch and intra-branch correlation to improve the accuracy of branch prediction” (Chang M., Chiu T., Chang C. - Chang-Gung University, Taiwan, National Computer Symposium, pp. 2280-2284, 2003)

4. [C29, C37] V. Lungu – “Procesoare Intel- Programare in limbaj de asamblare”, Editura Teora, Bucuresti, 2000, ISBN 973-20-0436-3, ediția I (și în ed.a 2-a, 2004)

5. [B5] Steven F.L. – “An Introduction to the Hatfield Superscalar Scheduler”, University of Hertfordshire, United Kingdom, Technical Report No.316/98, 1998

6. [B5] R. D. Potter - “Exploiting the Limitations of Fine Grained Parallelism for a Superscalar Architecture”, PhD Thesis, University of Hertfordshire, U.K., October, 1998

7. [A1] Dan Grigoraș – “Calculul paralel”, Computer Libris Agora, ISBN 973-97534-6-9, 2000

8. [B11] *** - “4310 works that have been based on the SOM method developed by Kohonen”, Neural Network Research Center, Helsinki University of Technology, Finland – www.cis.hut.fi/nnrc/refs/ (vezi si http://www.cis.hut.fi/research/refs/references_l-z.ps), 2001

9. [B4, B10] Egan C., Florea A. – “Reducing the Technological Gap between an Advanced Processor and the Memory Hierarchy System” (Part1,2), Transactions on Automatic Control and Computer Science, Special Issue dedicated to Fourth International Conf. on Technical Informatics (CONTI ’2000), Volume 45 (59), No 4, ISSN 1224-600X, University "Politehnica" of Timisoara, Romania, 2000

10. [A5, C40] B. E. Barbat – “Sisteme inteligente orientate spre agent“, Editura Academiei Romane, Bucuresti, ISBN 973-27-0940-5, 2002

11. [B11] Merja Oja, Samuel Kaski, Teuvo Kohonen, Bibliography of Self-Organizing Map (SOM) Papers: 1998-2001 Addendum, NEURAL COMPUTING SURVEYS 3, ISSN: 1093-7609 pp. 1-156, 2002, v. http://www.cis.hut.fi/research/refs/NCS_vol3_1.pdf

12. [A5] Adrian Petrescu (prof. univ. UP București, proiectant calculatoare M18, M118, HC85, etc) – “Calculatoare numerice 1,2“, U.P. Bucuresti, 2001 (http://www.csit-sun.pub.ro/courses/)

13. [A5] Adrian Petrescu, Nirvana Popescu, Cornel Popescu, “Calculatoare numerice I”, Printech, Bucureşti, 329 pg., ISBN 978-973-718-730-7, 2007 (http://www.csit-sun.pub.ro/courses/cn1CA/Cursuri/)

14. [A5] C Ivan - "Parallel architectures", Roprint Cluj Napoca 2000, pg. 272, ISBN 232-332-0-45

15. [B11] D. Jiménez, L. Calvin – “Neural Methods for Dynamic Branch Prediction“, ACM Transactions on Computer Systems (TOCS), vol.20, Issue 4 (November 2002), pg. 369-397, ISSN 0734-2071, ACM Press, New York, USA; citare indexată in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009); Scor relativ de influenta (SRI) = 2,35346358792185 (in anul 2012, cf. CNCS. - v. http://www.cncs-uefiscdi.ro/program-idei-

40

Page 41: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

proiecte-de-cercetare-exploratorie-competitie-2012/)ș Q1 Clarivate Analytics journal (JCR 2017)

16. [B11] Daniel A. Jiménez - Fast Path-Based Neural Branch Prediction, Proceedings of the 36-th Annual International Symposium on Microarchitecture (MICRO-36), San Diego, CA, December 2003 (MICRO este una dintre cele mai elitiste conferinte de arhitecturi de calcul); citare indexată in BDI Scopus (51 citari ale acestui articol pana in 2011)

17. [B11] Sunghoon Kim – Branch Prediction using Advanced Neural Methods, Technical Report, University of California, Berkeley, 2003

18. [A4] in cursul de “Microprocesoare”, autor. Dr.ing. M. Zaharia, U.T. "Gh. Asachi" Iasi, http://www.ace.tuiasi.ro/~mike/progrMicro.htm

19. [B35] A. Fern, R. Givan, B. Falsafi, and T. N. Vijaykumar - Dynamic feature selection for hardware prediction, Journal of Systems Architecture, vol. 52, Issue 4, ISSN: 1383-7621, Elsevier, April 2006 + Technical Report TR-ECE 00-12, School of Electrical and Computer Engineering, Purdue University, 2000 (http://min.ecn.purdue.edu/~afern/web-stuff/jsa-submission.pdf, revista JSA este cotata ISI Thomson); citare indexată in BDI Scopus (8 citari ale acestui articole indexate in 17.12.2009); Scor relativ de influenta (SRI) = 0,530332681017613 (in anul 2012, cf. CNCS - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/)

20. [B25] citat in articolul: Hunt S., Egan C., Shafarenko A. – A simple yet accurate neural branch predictor, IASTED Int’l Conf. on Artificial Intelligence and Application (AIA), Malaga, Spain, September 2003

21. [B11] Falcon A., Stark J., Ramirez A., Lai K., Valero M. - "Prophet/Critic Hybrid Branch Prediction", International Symposium on Computer Architecture (ISCA '04), Munich, Germany, 2004 (ISCA este cea mai prestigioasa conferinta din lume in domeniul microarhitecturilor de mare performanta; autorii sunt cercetatori renumiti ai companiei INTEL respectiv ai Universitatii “Politecnica” din Barcelona; Prof. Mateo Valero este castigatorul Premiului Eckert-Mauchly pe anul 2007, cel mai prestigious premiu in Computer Architecture – v. http://en.wikipedia.org/wiki/Eckert%E2%80%93Mauchly_Award); citare indexată in BDI Scopus

22. [B11] Tarjan D., Skadron K. - Revisiting the Perceptron Prediction Again, Technical Report CS-2004-28, University of Virginia, USA, 2004

23. [A5] Romana Oancea - De la Pentium la Pentium 4. Evoluţie continuă în domeniul procesării, Anuarul Academiei fortelor terestre, nr. 3, Sibiu, 2003-2004

24. [B11] Arun Lakshrninarayanan, Swmya Shriraghavan – Neural Branch Prediction, CS6290 Project, College of Computing, Georgia Tech, USA

25. [B36] Petzold J., Bagci F., Trumler W., Ungerer T. - Confidence Estimation of the State Predictor Method, Lecture Notes in Computer Science, Springer-Verlag Heidelberg, ISSN: 0302-9743, Volume 3295 / 2004

26. [B17] Muhieddine El Kaissi, Nian-Feng Tzeng, Magdy Bayoumi, and Mohamed Elgamel, Multiple Cached Correlated Branch Predictor for Binomial Distribution of Branch Decisions, University of Louisiana at Lafayette, 2004, http://cmc.rice.edu/asap2004/papers/36.pdf

27. [B34] Ukai, Masaki; (Kawasaki, JP), Branch prediction apparatus and a branch prediction method, USA Patent 20040003218, 2004, v. http://www.lw20.com/920130320695491644.html

41

Page 42: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

28. [B36] Christian Müller-Schloer, Hartmut Schmeck, Theo Ungerer, Organic Computing (Antrag auf Einrichtung eines neuen DFG-Schwerpunktprogramms), 15. Februar 2004 (Google Scholar)

29. [B11] Monchiero M., Palermo G., The Combined Perceptron Branch Predictor, Technical Report No. 2004.35, 2004, Politecnico di Milano, Italy (republished in Proceedings of International EuroPar Conference, Lisboa, Portugal, August 30 – September 2, 2005); citare indexată in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009)

30. [A5] ***, Arhitectură RISC, Wikipedia (Ro), v. https://ro.wikipedia.org/wiki/Arhitectur%C4%83_RISC

31. [B34] Aurangzeb, Muhammad; Ghazali, Muhammad Ahmad; Ahmed, Farooq; Shaheen, Fakhir, Prediction of Backward Branches by Pattern Detection, 9-th International Multitopic Conference, IEEE INMIC 2005, Volume , Issue , Dec. 2005 Page(s):1 - 6

32. [B11] Seznec A. - The O-GEHL branch predictor, The Journal of Instruction Level Parallelism, Championship Branch Predictors Workshop, December 4-8, 2004, Portland Oregon, SUA (ISI Thomson)

33. [B11] Haitham Akkary, Srikanth T. Srinivasan, Rajendar Koltur, Yogesh Patil, Wael Refaai - Perceptron-Based Branch Confidence Estimation, 10-th International Symposium on High Performance Computer Architecture (HPCA'04), February 14 - 18, 2004, Madrid, Spain; citare indexată in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009)

34. [B11] Seznec A. - Analysis of the O-GEometric History Length Branch Predictor, 32-nd Annual ISCA Conference, Madison, Wisconsin USA, June 4-8, 2005 (ISCA este cea mai prestigioasa conferinta din lume in domeniul microarhitecturilor de mare performanta; A. Seznec – Intel Awarded - este unul dintre cei mai renumiţi cercetători din lume în Computer Architecture)

35. [B36, B38] Petzold J., Bagci F., Trumler W., Ungerer T. - Next Location Prediction Within a Smart Office Building, 3-rd International Conference on Pervasive Computing, Munich, Germany, May 2005

36. [B36, B38] Petzold J., Pietzowski A., Bagci F., Trumler W., Ungerer T. - Prediction of Indoor Movements Using Bayesian Networks, First Int'l Workshop on Location and Context Awareness, Oberpfaffenhofen, Germany, May 2005

37. [B11] Daniel A. Jiménez - Improved Latency and Accuracy for Neural Branch Prediction, ACM Transactions on Computer Systems (TOCS), ISSN 0734-2071, vol. 23, no.2, May 2005; citare indexată in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009); Scor relativ de influenta (SRI) = 2,35346358792185 (in anul 2012, cf. CNCS - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/)ș Q1 Clarivate Analytics journal (JCR 2017)

38. [B11] Falcon A., Stark J., Ramirez A., Lai K., Valero M. (Premiul IEEE ACM Eckert-Mauchly) - Better Branch Prediction Through Prophet/Critic Hybrids, IEEE Micro, January/February 2005 (Vol. 25, No. 1); citare indexată in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009); Scor relativ de influenta (SRI) = 2,54207436399217 (in anul 2012, cf. CNCS); Q1 Clarivate Analytics journal (JCR 2017)

39. [B36] P. Nurmi, M. Martin, John A. Flanagan, Enabling proactiviness through Context Prediction In Proceedings of the Workshop on Context Awareness for Proactive Systems, Helsinki, 16 - 17 June, 2005

42

Page 43: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

40. [B35] He Liqiang, Z. Liu, A New Value Based Branch Predictor for SMT Pocessors, Proceedings of Parallel and Distributed Systems, ACTA Press, 2005; citare indexată in BDI Scopus (8 citari ale acestui articole indexate in 17.12.2009)

41. [B11] Tarjan D., Skadron K., Merging path and Gshare Indexing in Perceptron Branch Prediction, ACM Transactions on Architecture and Code Optimization, ISSN 1544-3566, vol. 2, no 3, September 2005; Q2 Clarivate Analytics journal (JCR 2017)

42. [A5, A6, B28] Nitu C., Sisteme de programe pentru calculul distribuit, Teza de doctorat, Universitatea “Politehnica” Bucuresti, 2005

43. [B35] Petzold J., Zustandspradiktoren zur Kontextvorhersage in ubiquitaren Systemen, Teza de doctorat, Universitatea Augsburg, Germania, noiembrie 2005

44. [B11] Culpepper B., Gondre M., SVMs for Improved Branch Prediction, University of California, UCDavis, USA, ECS201A Technical Report, 2005

45. [B11] Verdu J., Nemirovsky M., Garcia J., Valero M. (Premiul IEEE ACM Eckert-Mauchly), Workload Characterization of Stateful Networking Applications, International Symposium on High Performance Computing (ISHPC-6), Nara City, Japan, September 2005; citare indexată in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009)

46. [B38] Jan Petzold, Andreas Pietzowski, Faruk Bagci, Wolfgang Trumler and Theo Ungerer, Prediction of Indoor Movements Using Bayesian Networks, Lecture Notes in Computer Science, Volume 3479 / 2005, Springer Berlin / Heidelberg, ISSN:0302-9743

47. [B35] Shatnawi A., Shatnawi M., Improving Branch Predictors by Combining with Predicated Execution, Journal of Electrical Engineering, pg. 298-305, vol. 56, no. 11-12, 2005; citare indexată in BDI Scopus (8 citari ale acestui articole indexate in 17.12.2009)

48. [B40] Giese P., Characterizing the Performance of Value Prediction using Statistical Simulation, Technical Report, University of Alberta, Canada, 2005 - http://www.ece.ualberta.ca/~elliott/ece510/seminars/2005f/2005-12-09/VP_Presentation_Giese.pdf

49. [B36] Gortz M., Effiziente Echtzeit-Kommunikationsdienste durch Einbeziehung von Kontexten, PhD Thesis, Darmstadt University, July 2005

50. [B11] Verdu J., Nemirovsky M., Garcia J., Valero M., Architectural Impact of Stateful Networking Applications, 1-st International Symposium on Architectures for Networking and Communications Systems (ANCS), Princeton, NJ, USA, October 2005; citare indexată in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009)

51. [B25, B35] Lee B. et al., Branch Prediction Techniques, ECE 570 Final Project Report, Oregon State University, SUA, 2005

52. [B11] Seznec A., Genesis of the O-GEHL branch predictor, Journal of Instruction Level Parallelism, vol.7, 2005

53. [B11] Gao K., Pandis I., Project4: Branch prediction Competition, 18-741 Advanced Computer Architecture, Carnegie Melon, USA, 6 December 2005

54. [B34] Aamer M., et al., Efficiency of Pre-Computed Branches, Technical Report, University of Pennsylvania, USA, 2005

55. [B10] Jaleel A., The Effects of Aggressive Out-of-Order Mechanisms on the Memory Sub-system, PhD Thesis, University of Maryland, SUA, 2005

43

Page 44: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

56. [Multiple lucrari, B11] A. Florea, Predictia dinamica a valorilor in microprocesoarele generatiei urmatoare, Editura Matrix Rom, Bucuresti, 2005 (citate 15 lucrari)

57. [B25] Kwak, Jong Wook; Kim, Ju-Hwan; Jhon, Chu Shik - Direction-Embedded Branch Prediction based on the Analysis of Neural Network, Journal of the Institute of Electronics Engineers of Korea. CI, Computer and information, Volume 42, Issue 1, January 2005, pp 9-26, ISSN: 1229-6376

58. [B11] Seznec A., Michaud P., A case for (partially) TAgged Geometric history length branch prediction, Journal of Instruction Level Parallelism, USA, vol.8, 2006

59. [B35] Wang Y., Chen L., Dynamic Branch Prediction using Machine Learning, ECS 201A, Fall 2005, Dpt. of Comp. Sc., University of California, Davis, USA

60. [B11] Desmet V., On the Systematic Design of Cost-Effective Branch Prediction, PhD Thesis, ISBN 90-8578-087-X, Gent University, Belgium, June 2006

61. [B25] Patil S. et al., Branch Prediction by Checking Loop Terminal Conditions, Information Systems: New Generations (ISNG) Conference Proceedings, April 2005, Las Vegas, NV, USA

62. [B38] M. Kuhlmann, Untersuchung von Neuronalen Netzen zur Kontextvorhersage in ubiquitaren Systemen, University of Augsburg, Diplomaarbeit 2005

63. [B11] Amilcar Arfel Molina D'iaz - Plataforma de Comparacion para la Prediccion Dinamica de Saltos en Hardware FPGA, University Politecnica Catalunya, Barcelona, 2005

64. [B11], [B35] Poojary V. - Predicting Loop Unrolling Impact in Open MP Programs Using Machine Learning, MSc Thesis, North Carolina State University, USA, 2005

65. [B11], [B35] Almeida S. – Neural Branch Prediction - http://users.wpi.edu/~almeida/neural.pdf , TR, Worcester Polytechnic Institute - 100 Institute Road - Worcester, MA 01609-2280, USA, December 2006

66. [B45] Park K-W., Authentication Latency Reduction Technique based on a Delegation Mechanism and an Efficient PKI-based Single Sign-On Protocol, MSc Thesis, Korea Advanced Institute of Science and Technology, School of Electrical Engineering and Computer Science, 2006, v. http://library.kaist.ac.kr/thesis02/2007/2007M020053205_S1Ver2.pdf

67. [B11] Ma Y., Gao H., Zhou H., Using Indexing Functions to Reduce Conflict Aliasing in Branch Prediction Tables, IEEE Transactions on Computers, ISSN 0018-9340, vol. 55, No. 8, August 2006 (cea mai prestigioasa revista stiintifica din lume in domeniul calculatoarelor); citare indexată in BDI Scopus; Scor relativ de influenta (SRI) = 2,00715990453461 (in anul 2012, cf. CNCS - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/); Q1 Clarivate Analytics journal (JCR 2017)

68. [B38] Petzold J., Bagci F., Trumler W., Ungerer T. – Hybrid Predictors for Next Location Predictors, Lecture Notes in Computer Science, Springer-Verlag Heidelberg, ISSN: 0302-9743, pp. 125-134, Volume 4159 / 2006

69. [B34] Kejariwal A., Nicolau A. – Reading List of Performance Analysis, Speculative Execution, University of California, Irvine, USA, 2006 (cited in Kejariwal A., Nicolau A. et al. – Challenges in Exploitation of Loop Parallelism in Embedded Applications, ACM CODES+ISSS’06, Seoul, Soth Korea, October 2006)

44

Page 45: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

70. [B11] Maj Chetan Dewan, Study of Speculative Execution and Branch Prediction, Department of Computer Science and Engineering, Indian Institute of Technology Bombay, November 2006, http://www.cse.iitb.ac.in/~chetandewan/papers/speculative.pdf

71. [A1] Ioan Dzitac, Grigor Moldovan – Sisteme distribuite. Modele informatice, Editura Universitatii Agora, Oradea, ISBN (10) 973-87960-9-1, 2006

72. [B38] Petzold J., Bagci F., Trumler W., Ungerer T. - Improving next location prediction by using hybrid predictors, Second International Workshop on Location- and Context-Awareness, Dublin, Ireland, May 2006

73. [B48] A. Florea, A. Gellert - Memory Wall - A Critical Factor in Current High-Performance Microprocessors, Science and Supercomputing in Europe, ISBN 978-88-86037-19-8, Barcelona, Spain, 2006

74. [B48] A. Gellert, A. Florea - Finding and Solving Difficult Predictable Branches, Science and Supercomputing in Europe, ISBN 978-88-86037-19-8, Barcelona, Spain, 2006

75. [B38] Petzold J., Bagci F., Trumler W., Ungerer T. - Comparison of different methods for next location prediction, European Conference on Parallel Computing, Dresden, Germany, August/September 2006

76. [B11] Tarjan D. – Merging Path, Global and Local Indexing in Perceptron Branch Prediction, MSc Thesis, School of Engineering and Applied Science, University of Virginia, USA, January 2007

77. [B10] Hammel K. - An Analysis of Adding a Backside Level-Two Cache to an Existing Microprocessor, MSc Thesis, The University of Texas at Austin, USA, May 2006

78. [B11] Singer J., Brown G., Watson I. - Branch Prediction with Bayesian Networks, First Workshop on Statistical and Machine Learning Approaches applied to Architectures and Compilation (in conjunction with HiPEAC 2007 Conf.), pp. 96-112, Gent, Belgium, January 2007

79. [B35] Ribas, Luiz Vinicius Marra; Goncalves, Ronaldo Augusto de Lara, Evaluating Branch Prediction using Two-level Perceptron Table, Proceedings of The 14-th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2006, 2006, art. no. 1613266, pp. 145-148; citare indexată in BDI Scopus (8 citari ale acestui articole indexate in 17.12.2009)

80. [B35] Zhang, Y., Pan, G., Xie, L., Improved branch prediction algorithm based on the perceptron, Huazhong Keji Daxue Xuebao (Ziran Kexue Ban)/ Journal of Huazhong University of Science and Technology (Natural Science Edition), Volume 33, Issue SUPPL., December 2005, pages 101-103; citare indexată in BDI Scopus (8 citari ale acestui articol indexate pana in 17.12.2009)

81. [B17, B26] Armoush, A., Serhan, S.I., Compound global and local two-level adaptive branch predictor, Modelling, Measurement and Control, Volume 77, Issue 5-6, 2004, Pages 49-59

82. [B36] Trumler W., Organic Ubiquitous Middleware, PhD Thesis, University of Augsburg, July 2006

83. [B38] Evgenios Goulimis, Lysandros Tsoulos, An Approach for the Development of a Mobile Cartographic Information System (in Greek), 9th National Cartographic Conference, Chania, Greece, November 2006, v. https://www.researchgate.net/publication/292606964_An_Approach_for_the_Development_o

45

Page 46: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

f_a_Mobile_Cartographic_Information_System_in_Greek_Methodologike_Prosengisegia_ten_Anaptyxe_Kinetou_Systematos_Chartographikon_Plerophorion

84. [B11] Rajakumar Priyadarshini, A study on the neural-based perceptron branch predictor and its behavior, M.S., University of Maryland, College Park, 2006, 56 pages; 1439187, http://www.scribd.com/doc/18953262/A-study-on-the-neuralbased-perceptron-branch-predictor-and-its-behavior

85. [G22] C. Popescu, Gh. Răboacă, D. Ciucur, D. Iovan, Metodologia cercetării ştiinţifice economice, Ed. ASE, Bucureşti 2006 - v. http://s132.central.ucv.ro/licenta/images/diverse/metodologie_cerc.doc; Citare a lucrarii mele Scrierea si publicarea stiintifica, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf

86. [B11] Falk Wilamowski - Embedding branch predictors in ArchC processor simulators, Diplomarbeit, erstellt an der University of Reading, Reading, 18. März 2006 - http://falk.muellerbande.net/blog/user/admin/dissertation.pdf

87. [E1.9] Jing Wang, R. Venkatesha Prasad - State-of-the-art of Cognitive Networking and Selection of Cognitive Techniques, Future Home Networks (IOP GenCom Holland), Deliverable 2.1, December 2006

88. [B38] Amir Padovitz - Context Management and Reasoning about Situations in Pervasive Computing, PhD Thesis, Caulfield School of Information Technology, Monash University, Australia, April 2006, http://issuu.com/swloke/docs/padovitzphdthesis/7?mode=embed&documentId=081002102155-3bb836a8f8bb4274af86ce2c49cbabc0&layout=wood

89. [B36] Daniel Gorgen - Mobile Objekte in einer mobilen Umgebung, Dissertation zur Erlangung des akademischen Grades des Doktors der Naturwissenschaften am Fachbereich IV der Universit¨at Trier, August 2006

90. [B25] Palnitkar, R.M., Cannady, J., A review of adaptive neural networks, Conference Proceedings - IEEE SOUTHEASTCON, ISBN: 0-7803-8368-0, pp. 38-47, 2004

91. [B11] Silc J., Ungerer T., Robic B., Dynamic Branch Prediction and Control Speculation, International Journal on High Performance Systems Architecture, vol. 1, no. 1, pp. 2-13, Inderscience Enterprises Ltd., 2007

92. [B34] Al-Zawawi A. S., Transparent Control Independence (TCI), PhD Thesis, Raleigh, North Carolina University, USA, 2007

93. [B38] Akoush, Sherif and Sameh, Ahmed, Bayesian Learning of Neural Networks for Mobile User Position Prediction, Proceedings of 16-th International Conference on Computer Communications and Networks, ICCCN 2007, USA, ISSN: 1095-2055, ISBN: 978-1-4244-1251-8, 13-16 Aug. 2007 Page(s):1234 - 1239

94. [B11] Aasaraai K., Baniasadi A., A Power-Aware Alternative for the Perceptron Branch Predictor, Lecture Notes in Computer Science. Advances in Computer Systems Architecture, vol. 4697, ISSN 0302-9743 (Print) 1611-3349 (Online), ISBN 978-3-540-74308-8, Springer-Verlag Berlin / Heidelberg, 2007

95. [7 lucrari ale subsemnatului] D. I. Morariu, Contributions to Automatic Knowledge Extraction from Unstructured Data, PhD Thesis, “Lucian Blaga” University of Sibiu, 2007

96. [B48, A9] Ciprian Radu, Horia Calborean, Adrian Crapciu, Arpad Gellert, Adrian Florea, An Interactive Graphical Trace-Driven Simulator for Teaching Branch Prediction in

46

Page 47: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Computer Architecture, The 6-th EUROSIM Congress on Modelling and Simulation, ISBN 978-3-901608-32-2, 9-13 September 2007, Ljubljana, Slovenia

97. [B34] Yongfeng Pan, Xiaoya Fan, Liqiang He and Deli Wang, A Bypass Mechanism to Enhance Branch Predictor for SMT Processors, Lecture Notes in Computer Science. Advances in Computer Systems Architecture, vol. 4697, ISSN 0302-9743 (Print) 1611-3349 (Online), ISBN 978-3-540-74308-8, Springer-Verlag Berlin / Heidelberg, 2007

98. [B48] Hicks, M., Egan, C., Christianson, B. and Quick, P. – Towards an Energy Efficient Branch Prediction Scheme Using Profiling and Delay Region Scheduling, Proceedings of the International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS07), Rabat, Morocco, September, 2007

99. [B36] Marko Palviainen, Technique for dynamic composition of content and context-sensitive mobile applications, PhD Thesis, ISSN 1455-0849 (URL: http://www.vtt.fi/publications/index.jsp), Tampere University of Technology, 2007

100. [E1.9] Tania Das, Abantika Choudhury, Debashis De, Movement Pattern Based Adaptive Location Management, The Fifth International Conference on Advances in Mobile Computing and Multimedia, Jakarta, Indonesia, December 3 - 5, 2007

101. [B38] Israel Solà Cerdán, Dance Movement Patterns Recognition (Part I), Computer Science Final Project Report, University of Twente, The Netherlands, 2007

102. [B11] Carl Blumenthal, Development of the NoGapCL Hardware Description Language and its Compiler, Examensarbete utfört i kompilatorer och processordesign vid Tekniska högskolan i Linköping, 2007

103. [B35] Sethuram, R., Khan, O.I., Venkatanarayanan, H.V., Bushnell, M.L., A neural net branch predictor to reduce power, Proceedings of the IEEE International Conference on VLSI Design, art. no. 4092120, pp. 679-684, 2007; citare indexată in BDI Scopus (8 citari ale acestui articole indexate la 17.12.2009)

104. [G22] Cornea S., Cornea V. – citare lucrare http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf (“Scrierea si publicarea stiintifica”) in lucrarea Ghid pentru elaborarea tezelor de licenta, Universitatea de stat din Cahul, ISBN 978-9975-9834-4-0, Tipogr. "CentroGrafic" SRL "Turnul Vechi", 2007 - http://www.pro-science.asm.md/docs/2009/GHID_TEZA_AP.pdf

105. [A4, A7, A8] A. Florea – Introducere in stiinta si ingineria calculatoarelor, Editura Matrix Rom, Bucuresti, 2007

106. [G22] Citare si a lucrarii mele Scrierea si publicarea stiintifica, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf; „Acest articol, care este necesar a fi citit de către toţi cei care publică, vor să publice şi mai ales de către doctoranzi .” (prof. univ. dr. Mircea Lobonțiu - http://nerezonabilulprofesor.blogspot.ro/2007/11/scrierea-si-publicarea-stiintifica.html, 18.11.2007)

107. [B11], [B48] Michael Andrew Hicks, Energy Efficient Branch Prediction, PhD Thesis, University of Hertfordshire, UK, December 2007 - v. https://uhra.herts.ac.uk/dspace/bitstream/2299/4613/1/Michael%20Hicks%20-%20final%20PhD%20submission.pdf

108. [G22] Valeriu Cabac - The culture of scientific discourse: instruction referring to editing articles, in revista Arta si educatie artistica, Balti, 1 (7) 2008, ISSN 1857-0445, v. http://libruniv.usb.md/publicatie/arta/numarul_7.pdf (citare a lucrarii mele intitulate Scrierea si publicarea stiintifica, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf)

47

Page 48: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

109. [B38] M.B.I. Reaz, A. Assim, M.I. Ibrahimy, F. Choong, F. Mohd-Yasin - Smart Home Device Usage Prediction using Pattern Matching and Reinforcement Learning, 7-th International Conference on System Identification and Control Problems, Moscow, January 2008 - http://www.econf.info/files/105/1345/1092.pdf

110. [B38] Mamun Bin Ibne Reaz, Awss Assim, Muhammad I. Ibrahimy, Florence Choong, Faisal Mohd-Yasin, Hardware Simulation of Home Automation Using Pattern Matching and Reinforcement Learning for Disabled People, International Conference on Artificial Intelligence, pp. 213-218, Las Vegas, Nevada, USA, July 2008; v. http://nguyendangbinh.org/Proceedings/IPCV08/Papers/ICA3434.pdf

111. [B48] Takashi Yokota, Kanemitsu Ootsu, Takanobu Baba, Potentials of Branch Predictors —from Entropy Viewpoints—, Proceedings of ARCS 2008 Conference- Architecture of Computing Systems, TU Dresden, February 25th -28th 2008 http://arcs08.inf.tu-dresden.de/?n=6

112. [B11] A. Seznec, The L-TAGE Branch Predictor, Journal of Instruction Level Parallelism, USA, pp. 1-13, no. 9, 2007

113. [B11] Michael Black, Applying Perceptrons to Speculation in Computer Architecture, University of Maryland, College Park, USA, 2007 (also in his book entitled: Applying Perceptrons to Speculation in Computer Architecture - Neural Networks in Future Microprocessors, Vdm Verlag, 2007)

114. [A4] Grofu Florin, Sisteme cu microprocesoare, Editura Academica Brancusi, Tg. Jiu, 2008

115. [citate 7 lucrari ale subsemnatului] D. I. Morariu, Text Mining Methods based on Support Vector Machine, Editura Matrix Rom, Bucuresti, 2008

116. [B38, B45] Markus Vincon - Modellierung und Animation von computergenerierten Pflanzen, Department of Mathematics and Computer Science, University of Marburg, 2008

117. [B36] Ingrid Burbey, Future Location Prediction Using Data Compression Models, PhD Thesis, Virginia Polytechnic Institute and State University, 26 February 2008, http://filebox.vt.edu/users/iburbey/Prelim/Prelim_Ingrid_Burbey_Final.pdf

118. [B11] Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou, Address-Branch Correlation: A Novel Locality for Long-Latency Hard-to-Predict Branches, The 14th

International Symposium on High Performance Computer Architecture (HPCA-14), February 2008

119. [B36] Gui Lin, Wu Xiao-yue, Discrete hidden semi-Markov model based on prognosis model, Application Research of Computers, ISSN 1001-3695 Vol. 25 No. 11, Nov. 2008 (China)

120. [B52] Michał Kruk, Automatyczny system rozpoznawania komórek na podstawie obrazu mikroskopowego wybranej tkanki ludzkiej dla potrzeb diagnostyki medycznej, ROZPRAWA DOKTORSKA, POLITECHNIKA WARSZAWSKA, Wydział Elektryczny, 2008, v. http://www.researchgate.net/publication/270903286_Automatyczny_system_rozpoznawania_komrek_na_podstawie_obrazu_mikroskopowego_wybranej_tkanki_ludzkiej_dla_potrzeb_diagnostyki_medycznej

121. [B48] T. Yokota, K. Ootsu, and T. Baba (Japan), Entropy Representation of Memory Access Characteristics and Cache Performance, Advances in Computer Science and Technology, ACST 2008, Langkawi, Malaysia, Editor(s): S. Sahni, 416 pages, 2008

48

Page 49: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

122. [B11] Vojin Oklobdzija (Ed.), The Computer Engineering Handbook. Digital Systems and Applications, 2 Volume Set, ISBN-10: 0849386004, CRC Press, 2-nd edition (1648 pp.; Par. 2.3), 2008

123. [B25] Kwak, J.W., Jhon, C.S., High-performance embedded branch predictor by combining branch direction history and global branch history, IET Computers & Digital Techniques, ISSN 1751-8601, Vol.2, Issue 2, March 2008; Scor relativ de influenta (SRI) = 0,369449378330373 (in anul 2012, cf. CNCS)

124. [B38] Park K.W., Lim S.S., Park K.H. - Computationally Efficient PKI-Based Single Sign-On Protocol, PKASSO for Mobile Devices, IEEE Transactions on Computers, ISSN 0018-9340, Vol. 57, Issue 6, pages 821-834, June 2008 (cea mai prestigioasa revista stiintifica din lume in domeniul ingineriei calculatoarelor); Scor relativ de influenta (SRI) = 2,00715990453461 (in anul 2012, cf. CNCS); Q1 Clarivate Analytics journal (JCR 2017)

125. [B45] Bradler D., Schweizer I., Panitzek K., Mühlhäuser M. - First Response Communication Sandbox, Proceedings of the 11-th Communications and Networking Simulation Symposium, Ottawa, Canada, April 2008

126. [B50] Ohmori A., Improving Genre Expansion of a Classifier that Classifies Japanese Web Pages as Subjective or Non-subjective: Toward a Practical Classifier, D - Abstracts of IEICE TRANSACTIONS on Information and Systems (Japanese Edition), Vol. J91-D No. 4 pp. 978-992, Print ISSN: 1880-4535, 2008, v. http://search.ieice.org/bin/summary.php?id=j91-d_4_978&category=D&year=2008&lang=E&abst=j; scor relativ de influenta al revistei: 0,235051546391753 calculat UEFISCDI in 2012 - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/

127. [B11] Owen Kirby, Shahriar Mirabbasi, and Tor M. Aamodt - Mixed-Signal Neural Network Branch Prediction, Technical Report, University of British Columbia, June 2007

128. [B35] K. Slany - Branch predictor on-line evolutionary system, Proceedings of the 10-th annual conference on Genetic and evolutionary computation, pages 1643-1648, ISBN: 978-1-60558-130-9, Atlanta, GA, USA, 2008; citare indexată in BDI Scopus (8 citari ale acestui articole indexate in 17.12.2009)

129. [A3] Caprita H., Mihu I. Z. – Calculatoare numerice. Aplicatii, Editura ULB Sibiu, ISBN 978-973-739-679-2, Sibiu, 2008

130. [27 lucrări ale subsemnatului] Á. Gellért, Advanced Prediction Methods Integrated Into Speculative Computer Architectures, PhD Thesis, “Lucian Blaga” University of Sibiu, 2008

131. [28 lucrări ale subsemnatului] Á. Gellért, Beyond the Limits of Modern Processors, ISBN 978-973-755-426-0, Editura Matrix Rom, Bucuresti, 2008

132. [B11] V. Uzelac, Microbenchmarks and mechanisms for reverse engineering of modern branch predictor units, MSc Thesis, The University of Alabama in Huntsville, The Department of Electrical & Computer Engineering, USA, 2008

133. [B2] Vu Van Tan, Dae-Seung Yoo, Myeong-Jae Yi, A Framework towards OPC Web Service for Process Monitoring and Control, pp.562-568, 2008 International Conference on Advanced Language Processing and Web Information Technology, 2008

134. [B50] Chow R., Zhong W., Blackmon M., Stolz R., Dowell M., An efficient SVM-GA feature selection model for large healthcare databases, Proceedings of the 10-th annual

49

Page 50: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

conference on genetic and evolutionary computation, Pages 1373-1380, ISBN:978-1-60558-130-9, Atlanta, GA, USA, 2008

135. [E1.9] Stephan Sigg, Development of a novel context prediction algorithm and analysis of context prediction schemes, Kassel University Press, ISBN 978-3-89958-392-2, Germany, 2008 (http://www.upress.uni-kassel.de/online/frei/978-3-89958-392-2.volltext.frei.pdf)

136. [A5] Madalina-Ioana Toma, Speed improvement of softcore processor by implementing 4 extra small cores, Technical Report (supervisor prof. Uffe Jakobsen), Institute of Energy Technology, Aalborg University, 2008 (166 pp.), v. https://www.academia.edu/878623/Aalborg_University_Transilvania_University_Brasov

137. [B50] Ravindra Prabhakar Joshi, Sahana Bhosale, Prakash H. Patil, "Analysis and Simulation of Noise in Power Line Communication Systems," First International Conference on Emerging Trends in Engineering and Technology, pp.1287-1292, 2008, 2008, http://www.computer.org/portal/web/csdl/doi/10.1109/ICETET.2008.234

138. [B50] Rick Chow, Wei Zhong, Michael Blackmon, Richard Stolz, and Marsha Dowell, Evolving SVM Based Feature Selection Systems for Large Healthcare Databases, 4-th Annual USC Upstate Research Symposium, 2008, http://www.uscupstate.edu/uploadedFiles/Academics/Undergraduate_Research/Symposium/UURS2008Proceedings(1).pdf

139. [B11] Bharat, Meghamala, Jampani, Moulaali, Dynamic branch prediction with merged history perceptron predictor(new proposal), Texas A&M University, College Station, 2008

140. [B50] Dalal, S., Malik, L., A Survey of Methods and Strategies for Feature Extraction in Handwritten Script Identification, Emerging Trends in Engineering and Technology, 2008, ICETET '08, ISBN: 978-0-7695-3267-7, Nagpur, Maharashtra, 16-18 July 2008

141. [B31] Javier Verdu Mula, Analysis and Architectural Support for Parallel Stateful Packet Processing, PhD Thesis, Universitat Politecnica de Catalunya, Barcelona, 2008

142. [G14] in cadrul “Centrului de creatie stiintifica si tehnica” din Petrosani (http://www.upet.ro/centre/ccst/redactare.pdf)

143. [B38] Ivana Nižetic - Analysing Behaviour of Moving Objects, University of Zagreb, PhD Report, 2008, http://www.ieee.hr/_download/repository/KvalifikacijskiIvanaNizetic.pdf

144. [B50] Anwar Ali Yahya, Abd Rahman Ramli, Genetic-based approach for cue phrase selection in dialogue act recognition, Evolutionary Intelligence (2009), No. 1, pp. 253–269, ISSN 1864-5909 (Print), Springer Berlin / Heidelberg, http://www.springerlink.com/content/q595147183566236/fulltext.pdf

145. [B49] Jawad Nagi, An intelligent system for detection of nontechnical losses in Tenaga Nasional Berhad (TNB) low voltage distribution network, Universiti Tenaga Nasional, Malaysia, 2009

146. [B36] Carlos Ramos, Goreti Marreiros, Ricardo Santos, Carlos Filipe Freitas, Smart Offices and Intelligent Decision Rooms, in Handbook of Ambient Intelligence and Smart Environments (AISE), H. Nakashima, J. Augusto, H. Aghajan (eds.), Springer, 2009

147. [G14, G21] M. Vlada (editor si autor articol) - Tehnologii moderne in educatie si cercetare (Models and Methodologies, Technologies, Software Solutions), A 7-a Conferinta

50

Page 51: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

nationala de invatamant virtual, ISSN 1842-4708, Editura Universitatii din Bucuresti, 2009 - http://www.scribd.com/doc/21180066/Proceedings-of-CNIV-2009

148. [B50] Dalal, S., Malik, L., A Survey for Feature Extraction Methods in Handwritten Script Identification, International Journal of Simulation Systems, Science & Technology - IJSSST, Vol. 10, No. 3, ISSN: 1473-804x online, 1473-8031 print, May 2009 - http://ijssst.info/Vol-10/No-3/cover-10-3.htm

149. [A4] Mira Căldăraru, Florin Căldăraru, Introducere în microelectronică, ISBN 978-973-7622-78-5, Cavallioti, București, 2009, v. https://www.academia.edu/17738904/INTRODUCERE_%C3%8EN_MICROELECTRONIC%C4%82

150. [B38, B45] Boytsov A., Zaslavski A, Synnes K., Extending Context Spaces Theory by Predicting Run-Time Context, Lecture Notes in Computer Science, Springer Berlin / Heidelberg, Vol. 5764/2009

151. [E1.9] Sun J., Research on Context Model and Middleware in Smart Car, PhD Thesis (in Chinese), Zhejiang University, China, 2009, v. http://www.globethesis.com/?t=1102360302458551; http://www.cgl.org.cn/auto/db/detail.aspx?db=950002&rid=1640731&agfi=0&cls=0&uni=True&cid=0&showgp=True&prec=False&md=93&pd=6&msd=93&psd=6&mdd=93&pdd=6&count=10&reds=%E6%99%AE%E9%80%82%E8%AE%A1%E7%AE%97%3B%E6%B1%BD%E8%BD%A6

152. [B25] Jing-Mei Li, Ping Jiao, Chao-Guang Men, The new kind structure design and research of loop and b-cache based on Gas Branch Prediction WRI World Congress on Computer Science and Information Engineering.780-785, ISBN: 978-0-7695-3507-4, Los Angeles, California USA, 2009

153. [B45] M H Alomari, Engineering System Design for Automated Space Weather Forecast, PhD Thesis, School of Computing, Informatics & Media, University of Bradford, UK, 2009, v. https://bradscholars.brad.ac.uk/handle/10454/4248

154. [B45] M. AL-Omari, R. Qahwaji, T. Colak, S. Ipson, C. Balch, Next-Day Prediction of Sunspots Area and McIntosh Classifications Using Hidden Markov Models, International Conference on CyberWorlds, Bradford, UK, 2009 ("For example, in [20] the authors used HMMs to model the movement sequences of a person inside an office building. They found that their model can be used for the prediction of the next movement of a person, within the building, with an accuracy of 92%.").

155. [B52] Cheng-Lung Huang, ACO-based hybrid classification system with feature subset selection and model parameters optimization, Neurocomputing, ISSN: 0925-2312, Volume 73, Issues 1-3, Pages 438-448, December 2009; Scor relativ de influenta (SRI) = 0,866158868335147 (in anul 2012, cf. CNCS); Q1 Clarivate Analytics journal (JCR 2017)

156. [B38] Abad Padilla J. A., Gorricho Moreno J. L., Estrategia de búsqueda de dispositivos basada en el historial de conexiones utilizando redes neuronales, VII Congreso Internacional en Innovación y Desarrollo Tecnológico, p. 473-480, Cuernavaca, Morelos, México, Oct. 2009, v. http://upcommons.upc.edu/e-prints/bitstream/2117/11285/1/p473.pdf

157. [B52] V. Minzu, Sistem integrat pentru indexarea şi partajarea on-line a documentelor tehnice digitizate (SIPTEH), Raport stiintific si tehnic, Universitatea Dunarea de jos Galati, 2009, v. http://ro.scribd.com/doc/227850820/Teoria-Fractala-vs-Constructala

158. [B52] Wang Shi-yi, Network Intrusion Detection Based on Improved Support Vector Machine, Computer Technology and Development, ISSN:1673—629X(2009)07—0177—03, Vol. 19, No. 7, 2009, http://www.xactad.org/wenzhang/20101025090058714.pdf

51

Page 52: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

159. [B35] Hyojong Kim and Duckjin Jung, A design of pipelined MIPS microprocessor using Two-Level Branch Predictor (Chinese Language), 2009 – v. „Citare_Kim_2009.pdf”

160. [B11] Verma, S., Maderazo, B., Koppelman, D.M., Spotlight - A Low Complexity Highly Accurate Profile-Based Branch Predictor, Performance Computing and Communications Conference (IPCCC), 2009 IEEE 28-th International, ISBN: 978-1-4244-5737-3, December 2009

161. [B31] Davis, Mark C., Jourdan, Stephan, Hinton, Robert L., Phelps, Boyd S., Overriding a static prediction with a level-two predictor, United States Patent 7533252, Assignee: Intel Corporation (Santa Clara, CA, US), Publication Date 05/12/2009 - http://www.freepatentsonline.com/7533252.html; http://www.google.it/patents/US7533252

162. [B41, B58] Rakvic R., Gonzalez J., Gonzalez A. et al., Energy Efficiency via Threads Fusion and Value Reuse, IET Comput. & Digit. Techn., ISSN 1751-8601, Vol. 4, Issue 2, pp. 114-125, March 2010 (autorii sunt de la INTEL Research Barcelona, centru condus de Prof. A. Gonzalez); Scor relativ de influenta (SRI) = 0,369449378330373 (in anul 2012, cf. CNCS)

163. [B38] Bagci, F., Kluge, F., Satzger, B., Pietzowski, A., Trumler, W. and Ungerer, T. (2010) Experiences with a Smart Office Project, in Mobile Intelligence (eds L. T. Yang, A. B. Waluyo, J. Ma, L. Tan and B. Srinivasan), John Wiley & Sons, Inc., Hoboken, NJ, USA. doi:10.1002/9780470579398.ch14

164. [G21] Constantin Ionescu, Proceduri de redactare a materialelor stiintifice si tehnice. Redactarea articolelor stiintifice, www.ce.tuiasi.ro/~cionescu/CREAT%20PP/CREAT%207-8.pps, Universitatea Tehnica “Gh. Asachi”, Iasi, 2010

165. [B46] Maria Muntean, Ioan Ileană, Corina Rotar, Honoriu Vălean, Improving Classification with Cost-Sensitive Approach and Support Vector Machine, Proceedings of The 9-th IEEE RoEduNet International Conference, Sibiu, June 24-26, 2010

166. [B12, B17] Diary Rawoof Sulaiman, Hardware Based: Dynamic Branch Prediction for Microprocessors Energy Reduction in Portable Systems, International Journal of Engineering Studies, ISSN 0975- 6469, Volume 2, Number 2 (2010), pp. 193–205 © Research India Publications, http://www.ripublication.com/ijes.htm

167. [B11] Lei Wang and Qiong-Xian Zeng, BBQ - A Simple and Effective Approach to Backward Branch Predictions for Embedded Processors, Journal of Computers, Vol. 20, No.4, January 2010, ISSN: 1991-1599, China, 2010, http://www.joc.iecs.fcu.edu.tw/E_index.htm

168. [B49] Muntean M. et al - Feature Selection for Wireless Sensor Network’s Data, Smart Applications & Technologies for Electronic Engineering - SATEE 2010, Book of Abstracts, ISBN 978-973-1890-27-2, September, 9-11, 2010, Alba-Iulia, Romania - http://www.satee.uab.ro/upls/SATEE2010.pdf

169. [B50] S. Venkatesh Karthik, R. Srikant, and R. M. Madhu. 2010. Feature selection & dominant feature selection for product reviews using meta-heuristic algorithms. In Proceedings of the Third Annual ACM Bangalore Conference (COMPUTE '10). ACM, New York, NY, USA, Article 26 , 4 pages. DOI=10.1145/1754288.1754314 http://doi.acm.org/10.1145/1754288.1754314

170. [B45] Dirk Bradler, Peer-to-Peer Concepts for Emergency First Response, PhD Thesis, Technical University of Darmstadt, 2010, http://tuprints.ulb.tu-darmstadt.de/2198/

52

Page 53: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

171. [A8] Arpad Gellert, Rodica Baciu, Programare în limbaj de asamblare: îndrumar de laborator, Editura Techno Media, ISBN 978-606-8030-79-1, 2010

172. [B11] Jia-Hao Fan, Spencer Huang, Scaled Neural Analog Branch Predictor, Term Project Evaluation Form CSCE614, Texas A&M University, CS Dept, 2010 v. - http://neuralbranchpredictorinc.googlecode.com/svn-history/r57/trunk/doc/finalReport.pdf, http://scholar.googleusercontent.com/scholar?q=cache:wjLCIuDUp6sJ:scholar.google.com/&hl=ro&as_sdt=0&sciodt=0

173. [B38, B45] Hongbo Si, Yue Wang, Jian Yuan, Xiuming Shan, Mobility Prediction in Cellular Network using Hidden Markov Model, 7-th Annual IEEE Consumer Communications & Networking Conference, ISBN:978-1-4244-5175-3, Las Vegas, Nevada, USA, January 2010, http://portal.acm.org/citation.cfm?id=1834482

174. [G26] Florin Puscas, 1.001 de premiere bănăţene… Primul computer din mediul universitar, http://www.ziuadevest.ro/premiere/12268-1001-de-premiere-bnene-primul-computer-din-mediul-universitar.html, Ziua de vest, Timisoara, 13 august 2010

175. [G22] Alina Badanoiu, Raluca Stan, Clasificarea publicatiilor stiintifice, Imbunatatirea capacitatilor de publicare stiintifica, Program POSDRU, http://systems.cs.pub.ro/resources/phd/module-studiu/phd2008-1-capacitate-publicare/capitolul-1-clasificarea-publicatiilor-stiintifice.pdf, 2010 (citare a lucrarii mele “Scrierea si publicarea stiintifica”)

176. [B50] Art Vandenberg, Vijay K. Vaishnavi, Saravanaraj Duraisamy and Tianjie Deng - Dynamically Generating Context-Relevant Sub-Webs, Lecture Notes in Computer Science, 2010, Volume 6105/2010, pp. 270-285, http://www.springerlink.com/content/g026x8m163061700/

177. [B45] Andrey Boytsov, Arkady Zaslavsky, Extending Context Spaces Theory by Proactive Adaptation, Smart spaces and next generation wired/wireless networking, Lecture Notes in Computer Science, Vol. 6294, 2010, http://www.springerlink.com/content/72071362311q768x/

178. [B34] Navin, A.H., Lahouti, E., Anhar, M.L., Mirnia, M.K., A new method to prevent control hazard in pipeline processor by using an auxiliary processing unit, Advanced Computer Control (ICACC), 2010 2nd International Conference on, Shenyang, 27-29 March 2010

179. [B34] Lahouti, E., Habibizad Novin, A., Kamal Mirnia, M., Anhar, M.L., A novel approach to eliminate control hazards by using two priori processing units in pipeline computing, Education Technology and Computer (ICETC), 2010 2nd International Conference on, ISBN: 978-1-4244-6367-1, Shanghai, 22-24 June 2010

180. [B25] Elena Kakoulli, Vassos Soteriou, Theocharis Theocharides, An Artificial Neural Network-Based Hotspot Prediction Mechanism for NoCs, isvlsi, pp.339-344, 2010 IEEE Annual Symposium on VLSI, ISBN: 978-0-7695-4076-4, Lixouri, Cephalonia Greece, 2010

181. [B25] Elena Kakoulli, Vassos Soteriou and Theocharis Theocharides, Intelligent NOC Hotspot Prediction, VLSI 2010 Annual Symposium. Selected Papers, Lecture Notes in Electrical Engineering, 2011, Volume 105, Part 1, 3-16, DOI: 10.1007/978-94-007-1488-5_1, ISBN: 978-94-007-1487-8 - http://books.google.ro/books?id=Xm0Sd4hq8v0C&pg=PA3&lpg=PA3&dq=Intelligent+NOC+Hotspot+Prediction&source=bl&ots=25c0D9Hu0r&sig=FiZj0SmQOtkrKBEOVK5-10tBgpQ&hl=en&ei=-

53

Page 54: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

GudTrW5Mc334QSv9_XFCQ&sa=X&oi=book_result&ct=result&resnum=1&ved=0CCIQ6AEwAA#v=onepage&q=Intelligent%20NOC%20Hotspot%20Prediction&f=false

182. [G22] Dumitru D. Boghian – Introducere in Teoria si Metodologia Cercetarii, Universitatea „Ştefan cel Mare” Suceava, 2010, v. http://www.scribd.com/doc/49773421/Teoria-si-metodologia-cercet-010; Citare a lucrarii mele „Scrierea si publicarea stiintifica”, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf

183. [B38] Erich Bruns, Adaptive Image Classification on Mobile Phones, PhD Thesis, Bauhaus University, Weimar, Germany, May 2010 (http://e-pub.uni-weimar.de/opus4/files/1421/Dissertation_Erich_Bruns.pdf)

184. [B11] Ozturk, C., Sendag, R., An analysis of hard to predict branches, Performance Analysis of Systems & Software (ISPASS), 2010 IEEE International Symposium on, pp. 213 - 222, White Plains, NY, 28-30 March 2010

185. [B11] Xiao Chen, A Survey of Dynamic Branch Prediction Techniques, CS5431 Term Paper, Michigan Technological University, USA, 04/22/2010

186. [B36] Kun Chang Lee and Heeryon Cho, Designing a Ubiquitous Decision Support Engine for Context Prediction: General Bayesian Network Approach, International Journal of u- and e- Service, Science and Technology, Vol. 3, No. 3, September, 2010

187. [B49] Nachev V. et al, Improving the Qualities of SVM Classifiers with Evolutionary Algorithms, Information Technologies and Control, Year 8, No. 1/2010, ISSN 1312-2622, v. https://www.researchgate.net/profile/Tanya_Titova/publication/283687054_Improving_the_Qualities_of_SVM_Classifiers_with_Evolutionary_Algorithms/links/56433bf008ae54697fb2cfc2.pdf

188. [B36] K. Lee, H. Cho, S. Lee, U-BASE: General Bayesian Network-Driven Context Prediction for Decision Support, Advances in Information Technology Communications in Computer and Information Science, 2010, Volume 114, 63-72, http://www.springerlink.com/content/jhq88w5140076436/

189. [B50] Golait S., Handwritten Script Recognition for Postal Automation with PCA and Support Vector Machine, in “Computer Vision and Information Technology: Advances and Applications”, I.K. International Publishing House Pvt. Ltd., New Delhi, Bangalore, pg. 508, ISBN 978-93-80026-95-4, IEEE, 2010, v. https://books.google.ro/books?id=MRGJN7GI42IC&pg=PA508&lpg=PA508&dq=%22lucian+n.+vințan%22&source=bl&ots=oHpbsY8X9z&sig=ZhrmADmBvsiP-k5GcVEiTT9_vls&hl=ro&sa=X&ved=0ahUKEwiz65Xjp8LRAhVFCZoKHdTXC0Y4ChDoAQhKMAg#v=onepage&q=%22lucian%20n.%20vințan%22&f=false

190. [B46] Muntean, M., Valean, H., Miclea, L., Incze, A., A novel intrusion detection method based on support vector machines, Computational Intelligence and Informatics (CINTI), 2010 11-th International Symposium on, Budapest, ISBN: 978-1-4244-9279-4, 18-20 Nov. 2010, http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5672276

191. [B38, B45] Andrey Boytsov, Arkady Zaslavsky, Context Prediction in Pervasive Computing Systems: Achievements and Challenges, Supporting real time decision-making, Annals of Information Systems, Vol 13, Part 2, ISBN 978-1-4419-7405-1, Springer Science, 2011

192. [B45] Jawad H. AlKhateeb, Olivier Pauplin, Jinchang Ren, Jianmin Jiang, Performance of Hidden Markov Model and Dynamic Bayesian Network Classifiers on

54

Page 55: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Handwritten Arabic Word Recognition, Knowledge-Based Systems (KNOSYS), Elsevier, ISSN: 0950-7051, Pages 680-688, February 2011 - v http://www.sciencedirect.com/science?_ob=ArticleURL&_udi=B6V0P-525YP3X-1&_user=4714271&_coverDate=02%2F15%2F2011&_rdoc=1&_fmt=high&_orig=gateway&_origin=gateway&_sort=d&_docanchor=&view=c&_rerunOrigin=scholar.google&_acct=C000064540&_version=1&_urlVersion=0&_userid=4714271&md5=17edbdb13d95b386eb6c0b742f16c1b3&searchtype=a; Scor relativ de influenta (SRI) = 0,665941240478781 (in anul 2012, cf. CNCS)

193. [G26] Cristina Negraru, O istorie in imagini: Cum aratau primele calculatoare romanesti in anii '60 si cum arata acum, Wall-Street. Business in timp real (cotidian online), 28 martie 2011, v. - http://www.wall-street.ro/articol/IT-C-Tehnologie/101324/O-istorie-in-imagini-Cum-aratau-primele-calculatoare-romanesti-in-anii-60-si-cum-arata-acum.html

194. [B45] Kejing Zhang, Traffic Pattern Prediction in Cellular Networks, PhD Thesis, Queen Mary University of London, UK, November 2011, v. https://qmro.qmul.ac.uk/jspui/handle/123456789/2442

195. [A9] Ionuţ-Răzvan CALUIAN, Creşterea productivităţii energetice a panourilor fotovoltaice, Teza de doctorat (164 pg.), Universitatea Tehnica de Constructii, Bucuresti, 2011, v. http://instal.utcb.ro/site/teza_doctorat_Ionut_Caluianu.pdf

196. [B38, B45] Eoghan Furey, HABITS: A History Aware Based Indoor Tracking System, PhD Thesis, University of Ulster, July 2011 (http://www.paulmckevitt.com/phd/fureythesis.docx)

197. [B38, B45] Andrey Boytsov, Context Reasoning, Context Prediction and Proactive Adaptation in Pervasive Computing Systems, Department of Computer Science, Electrical and Space Engineering, Luleå University of Technology, SE-971 87 Luleå, Sweden, June 2011, v. http://pure.ltu.se/portal/files/32946690/Andrey_Boytsov.Komplett.pdf

198. [B25] Pradipta K. Mishra, Asis Kumar Tripathy, A Novel Approach for Branch Prediction using SVM, International Journal of Advanced Research in Computer Science, ISSN No. 0976-5697, Volume 2, No. 1, Jan-Feb 2011, v. http://www.ijarcs.in/index.php/Ijarcs/article/viewFile/327/317

199. [Lucrari FADSE] Ralf Jahr, Theo Ungerer, Design Space Exploration for the Grid Alu Processor (GAP) with the Framework for Automatic Design Space Exploration (FADSE), HiPEAC Spring Computing Systems Week, Chamonix, France, April 7-th 2011

200. [B11] Yuval Peress, Gary Tyson - Augmented Tournament Predictor, submitted to 2nd

JILP Workshop on Computer Architecture Competitions (JWAC-2): Championship Branch Prediction, http://www.jilp.org/jwac-2/, in conjunction with: ISCA-38, http://isca2011.umaine.edu/, San Jose, CA, USA, June 2011

201. [Vințan-Neural Branch Prediction] Yue Hu, David M. Koppelman, Lu Peng (Louisiana State University, USA), A Penalty-Sensitive Branch Predictor (PPTX Presentation), 2-nd JILP Workshop on Computer Architecture Competitions (JWAC-2): Championship Branch Prediction, held in conjunction with The 38-th International Symposium on Computer Architecture, June 2011, see https://www.jilp.org/jwac-2/program/cbp3_04_hu.pptx

202. [E1.9] Tomáš Mikluščák, Michal Gregor, Person Movement Prediction Using Artificial Neural Networks with Dynamic Training on a Fixed-Size Training Data Set,

55

Page 56: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Applied Computer Science, Vol. 7, No. 2, pp. 43-56, 2011 (http://acs.pollub.pl/index.php/archival-issues/vol-7-no-2-2011.html)

203. Lucrarea mea (contributia mea din [G22]) Scrierea si publicarea stiintifica, citata in Jipa S. Et al, APPLYING NEW SCIENTOMETRIC INDICATORS TO EVALUATE THE SCIENTIFIC PERFORMANCE OF CHEMISTRY GROUP FROM VALACHIA UNIVERSITY OF TARGOVISTE, Journal of Science and Arts Year 11, No. 4(17), pp. 491-498, 2011, v. http://www.icstm.ro/DOCS/josa/josa_2011_4/b.05_Silviu_Jipa.pdf

204. [B38, B45] Bien Van Quang, Vankatesha Prasad, Ignas Niemieeger, Nguyen Thi Viet Huong, An Approach for Movement Prediction in Radio over Fiber Indoor Network at 60 GHz, International Conference on Communications and Signal Processing (ICCSP 2011), pp. 389-393, Print ISBN: 978-1-4244-9798-0, Kerala, India, February 2011, v. http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5739344

205. [B46] Maria Muntean, Honoriu Valean, Ioan Ileana, Corina Rotar, Improving classification with cost-sensitive approach for distributed databases, Ubiquitous Computing and Communication Journal, Volume 6 (Special issue of The Romanian Educational Network - RoEduNet), pp.642-649, 2011

206. [B46] Maria Muntean, Honoriu Valean, Remus Joldes, Emilian Ceuca, Feature selection for classifier accuracy improvement, Acta Universitatis Apulensis, No. 26/2011, pp. 203-216, ISSN: 1582-5329, 2011

207. [B11] Yang Lu, Yi Liu, He Wang - A study of perceptron based branch prediction on Simplescalar platform, Computer Science and Automation Engineering (CSAE), 2011 IEEE International Conference on, pp. 591 - 595, Print ISBN: 978-1-4244-8727-1, Shanghai, China, 10-12 June 2011, v. http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5952918

208. [B38, B45] Eoghan Furey, Kevin Curran, Paul Mc Kevitt, HABITS: A Bayesian Filter Approach to Indoor Tracking and Location, The 22-nd Irish Conference on Artificial Intelligence and Cognitive Science (AICS 2011), University of Ulster, Ireland, August 2011, v. http://www.infm.ulst.ac.uk/~Kevin/AICS2011a.pdf

209. [183] is published also in International Journal of Bio-Inspired Computation, Volume 4, Number 2/2012, Inderscience Publishers, ISSN 1758-0366 (Print), pp.79-88

210. [B11] Celal Ozturk, Dynamic branch misprediction classification, Disserttions and Master's Theses (Campus Access). Paper AAI1491505, Proquest, Umi Dissertation Publishing, 2012, http://digitalcommons.uri.edu/dissertations/AAI1491505, v. http://search.proquest.com/openview/82ca00fca4b75997d424579f85729ac4/1?pq-origsite=gscholar&cbl=18750&diss=y

211. [B62] Minhaj Ahmad Khan, Improving performance through deep value profiling and specialization with code transformation, Computer Languages, Systems & Structures, Elsevier, 11 pages, ISSN: 1477-8424, 2011 - http://www.sciencedirect.com/science/article/pii/S1477842411000170; Scor relativ de influenta (SRI) = 0,389432485322896 (in anul 2012, cf. CNCS)

212. [Cca. 16 lucrari ale subsemnatului] Calborean Horia, Multi-Objective Optimization of Advanced Computer Architectures using Domain-Knowledge, PhD Thesis, “L. Blaga” University of Sibiu, November 2011

213. [16 lucrari ale subsemnatului] Radu Ciprian, Optimized Algorithms for Network-on-Chip Application Mapping, PhD Thesis, “L. Blaga” University of Sibiu, November 2011

56

Page 57: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

214. [11 lucrari ale subsemnatului] Creţulescu Radu, Contribuţii la proiectarea sistemelor de clasificare a documentelor, Teză de doctorat, Universitatea “L. Blaga” din Sibiu, noiembrie 2011

215. [B58, B43] Sulaiman R. Diary, ANN based DBP for Microprocessors Power Reduction, Journal of Computer Science and Control Systems, Vol. 4, No. 1, pp. 173-178, May 2011 - http://electroinf.uoradea.ro/reviste%20CSCS/volumes/JCSCS%202011%20Vol%204%20Nr%201%20FULL.pdf

216. [B11] Santhosh Verma, Reducing complexity of processor front ends with static analysis and selective preloading, PhD Thesis, Louisiana State University, December 2011, http://etd.lsu.edu/docs/available/etd-09162011-163314/unrestricted/thesis.pdf

217. [B45] Sonja Zaplata, Matthias Meiners, Winfried Lamersdorf, Designing future-context-aware dynamic applications with structured context prediction, Software - Practice and Experience, John Wiley & Sons, ISSN: 0038-0644, October 2011, http://onlinelibrary.wiley.com/doi/10.1002/spe.1126/full; Scor relativ de influenta (SRI) = 0,622309197651663 (in anul 2012, cf. CNCS)

218. [B11] Souad Koliaï, Static and Dynamic Approach for Performance Evaluation of Scientific Codes, Université de Versailles Saint-Quentin, France, 11 Juillet 2011, v. http://www.maqao.org/publications/theses/Thesis.SK.pdf

219. [B35] Hassan Sinky, Andy Bui, Atipol Asavametha and Behrouz Behmardi, Parallelism in Machine Learning: A Survey on Machine Learning Techniques Related to Computer Architecture, School of Electrical Engineering and Computer Science, Oregon State University, March 2011, http://web.engr.oregonstate.edu/~sinkyha/ECE570/ece570.project.report.pdf

220. [G22] Scrierea si publicarea stiintifica, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf citata in Teiusan S., Calean I., NATIONAL PUBLICATIONS FOR THE YOUNG ECONOMISTS, Annales Universitatis Apulensis Series Oeconomica, 13(1), 2011

221. [G16] Mirela Arsith, Metode si tehnici de cercetare in stiintele comunicarii, ISBN: 978-606-533-242-3, Universitatea “Danubius” din Galați, 2011, v. https://issuu.com/arsith.mirela/docs/metode_si_tehnici_de_comunicare__n_stiintele_comun

222. [B11] Jimenez D., An optimized scaled neural branch predictor, Proceedings of The IEEE 29-th International Conference on Computer Design (ICCD), Amherst, MA, USA, ISSN: 1063-6404, pp. 113 – 118, 9-12 Oct. 2011, http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=6081385

223. [B36] Burbey I., Predicting Future Locations and Arrival Times of Individuals, Virginia Polytechnic Institute and State University, Electrical and Computer Engineering, PhD Thesis, USA, April 2011

224. [B50] Yahya, A.A., A. Osman, A.R. Ramli and A. Balola, Feature selection for high dimensional data: An evolutionary filter approach, J. of Comput. Sci., 7: 800-820, ISSN Print: 1549-3636, 2011, IF=1.35 (2012). V. http://www.google.ro/url?sa=t&rct=j&q=&esrc=s&frm=1&source=web&cd=1&ved=0CC8QFjAA&url=http%3A%2F%2Fthescipub.com%2Fpdf%2F10.3844%2Fjcssp.2011.800.820&ei=WgDQUYLUDs7MtAag34CQDg&usg=AFQjCNG87TiLV5OtLOQwidY8Tjm8kcpCUw&sig2=vJzrBM95-EaxsXEn7NTLGQ&bvm=bv.48572450,d.Yms

57

Page 58: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

225. [B62] Mehdi Alipour, Kamran Moshari, Mohammad Reza Bagheri, Performance per Power Optimum Cache Architecture for Embedded Applications, a Design Space Exploration, 2-nd IEEE International Conference on Networked Embedded Systems for Enterprise Applications (NESEA), pp. 1-6, 2011

226. [G22] Anca Nedelcu, Cercetare-actiune in educatie, Modul 8, Editor: Ministerul Educaţiei, Cercetării, Tineretului şi Sportului Unitatea de Management al Proiectelor cu Finanţare Externă, noiembrie 2011, v. http://mentoraturban.pmu.ro/sites/default/files/ResurseEducationale/Modul%208%20Cercetare%20actiune.pdf; Citare a lucrarii mele Scrierea si publicarea stiintifica, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf (“considerăm utile sugestiile sintetizate de L. Vințan în articolul “Scrierea şi publicarea ştiinţifică”, pe care vă invităm să-l citiţi integral”)

227. [B45] E Furey, K Curran, P Mc Kevitt, A Bayesian Filter Approach to Modelling Human Movement Patterns for First Responders within Indoor Locations, Third International Conference on Intelligent Networking and Collaborative Systems, ISBN: 978-0-7695-4579-0, November 30 - December 02, 2011 - http://www.computer.org/portal/web/csdl/doi/10.1109/INCoS.2011.14

228. [B62] Alipour, M., Salehi, M.E., Moshari, K., Cache power and performance tradeoffs for embedded applications, ICCAIE 2011 - 2011 IEEE Conference on Computer Applications and Industrial Electronics, Print ISBN: 978-1-4577-2058-1, 4-7 Dec. 2011, Penang – v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6162098&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6162098

229. [B36] Lee Kun Chang, Cho Heeryon, Integration of General Bayesian Network and ubiquitous decision support to provide context prediction capability, Expert Systems with Applications: An International Journal, ISSN: 0957-4174, DOI: 10.1016/j.eswa.2011.11.007, Volume 39, Issue 5, pp. 6116-6121, April, 2012, v. http://dl.acm.org/citation.cfm?id=2109414; Scor relativ de influenta (SRI) = 1,02625298329356 (in anul 2012, cf. CNCS)

230. [B25] Kakouli E., Soteriou, V.; Theocharides, T., Intelligent Hotspot Prediction for Network-on-Chip-Based Multicore Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, ISSN: 0278-0070, Vol. 31, Issue 3, pp. 418 – 431, March 2012; Scor relativ de influenta (SRI) = 1,14081145584726 (in anul 2012, cf. CNCS)

231. [B58] Carlos Henrique Andrade Costa, Dynamic Methodology for Optimization Effectiveness Evaluation and Value Locality Exploitation, University of São Paulo, Brazil, 2012 (www.teses.usp.br/teses/disponiveis/3/3141/tde-16072013-113139/publico/tese_carlos_h_a_costa.pdf)

232. [G27] Vlada M, Adascalitei A., 2012 The Alan Turing Year – de la maşina Enigma şi testul Turing la Inteligenţa Artificială, Lucrarile celei de-a X-a Conferinte de Invatamant Virtual, Editura Universitatii din Bucuresti, ISSN 1842-4708, 2012, pag. 41-51, v. http://www.icvl.eu/2012/disc/structura/cniv/documente/pdf/sectiuneaA/sectiuneaA_lucrarea2.pdf

233. [B38] Erich Bruns, Oliver Bimber, Localization and Classification through Adaptive Pathway Analysis, IEEE Pervasive Computing, Vol. 11, Issue 2, ISSN: 1536-1268, pp. 74-81, April-June 2012, a se vedea http://www.computer.org/portal/web/csdl/doi/10.1109/MPRV.2010.68, http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5551110; Scor relativ de influenta

58

Page 59: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

(SRI) = 4,25597269624573 (in anul 2012, cf. CNCS); Q1 Clarivate Analytics journal (JCR 2017)

234. [B36] Ingrid Burbey, Thomas L. Martin, (2012), A survey on predicting personal mobility, International Journal of Pervasive Computing and Communications, ISSN: 1742-7371, Vol. 8 Iss: 1, pp. 5 – 22, v. - http://www.emeraldinsight.com/journals.htm?articleid=17024853&ini=aob

235. [B38] Han Xue, Huang Liang, Qian Man-li, Shi Jing-lin, Key Technologies of Next Generation Mobile Communication Network Control and Management, Journal of Integration Technology, ISSN:2095-3135 Vol. 1, No. 3, pages 55-60, September 2012

236. [B50] Ika Sofiana et al, Analisis Pengaruh Feature Selection Menggunakan Information Gain dan Chi-Square untuk Kategorisasi Teks Berbahasa Indonesia, Institut Teknologi Telkom, Bandung, 2012, v. http://cdndata.ittelkom.ac.id/tapa/jurnal/113080023.pdf

237. [G22] Sorin-Ciprian Teiusan, Ioana Calean, Dynamic and Topicality in Romanian Scientific Publishing, Annals of “Dunarea de Jos” University of Galati, Fascicle I. Economics and Applied Informatics, Years XVIII – no. 1/2012 ISSN 1584-0409 – v. http://www.eia.feaa.ugal.ro/sites/default/files/CiprianTeiusan_IoanaCalean.pdf (Citare a lucrarii mele „Scrierea si publicarea stiintifica”, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf)

238. [B27] Milco Prisaganec et al, Performance Evaluation of the Schemes for Dynamic Branch Prediction with ABPS Simulator, Sixth International Conference ISGT’2012, pp. 239-248, Sofia, Bulgaria, June 1–3, 2012, v. https://www.researchgate.net/profile/Valeria_Simeonova/publication/237266952_Data_Analysis_for_Next_Generation_Sequencing_-_Parallel_Computing_Approaches_in_de_Novo_Assembly_Algorithms/links/0046351e41116c608f000000.pdf?origin=publication_detail

239. [G15] Antonio Sandu, Metode de cercetare in stiinta comunicarii, Iasi, Editura Lumen 2012, v. http://books.google.ro/books?hl=ro&lr=&id=aLkVAW-aezAC&oi=fnd&pg=PT9&ots=-PrbAgCgdl&sig=IgNh1znY9BGlvMnbwOo4zyBUgfc&redir_esc=y#v=onepage&q&f=false

240. [G15] Antonio Sandu, Logica si teoria argumentarii, Iasi, Editura Lumen 2012, v. http://books.google.ro/books?hl=ro&lr=&id=DtbAOo7lCoYC&oi=fnd&pg=PT59&ots=zWYCVkSpTp&sig=y5874Oba40oKdMY-I38lj7z3GWA&redir_esc=y#v=onepage&q&f=false

241. [B74] MAKOLO, ANGELA UCHE, COMPUTATIONAL INFERENCE TECHNIQUE FOR MINING STRUCTURED MOTIFS, PhD Thesis, UNIVERSITY OF IBADAN, Nigeria, September 2012, v. http://ir.library.ui.edu.ng:8080/bitstream/123456789/1145/1/ui_thesis_makolo_a.u._computational_2012_full_work.pdf242. [B5] Abboud F. et al, Combining Height Reduction and Scheduling for VLIW Machines Enhanced with Three-Argument Arithmetic Operations, International Journal of Parallel Programming (Impact Factor: 0.5). 10/2012; 40(5). DOI: 10.1007/s10766-012-0196-7, v. http://link.springer.com/article/10.1007%2Fs10766-012-0196-7

243. [B38, B45] Eoghan Furey, Kevin Curran, Paul Mc Kevitt, Probabilistic Indoor Human Movement Modeling to Aid First Responders, Journal of Ambient Intelligence and

59

Page 60: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Humanized Computing, Springer, Vol. 3, No. 2, ISSN: 1868-5137, April 2012 (http://www.springerlink.com/content/k6675666p4363541/)

244. [G15] A. Sandu, Management Excellence in Academic Publishing, Postmodern Openings, Volume 3, Issue 1, March, pp: 7-27, ISSN: 2068 – 0236 (print), ISSN: 2069 – 9387 (electronic), 2012 v. http://postmodernopenings.com/wp-content/uploads/2012/04/Management-Excellence-in-Academic.pdf

245. [B45] Tim Schlüter, Knowledge Discovery from Time Series, Heinrich-Heine-Universit at Dusseldorf, PhD Thesis, April 2012, v. http://docserv.uni-duesseldorf.de/servlets/DerivateServlet/Derivate-22837/dmstools%20-%20Dissertation%20Schlueter%20-%20Knowledge%20Discovery%20from%20Time%20Series.pdf

246. [B52] Eyad Hashem S.Humaid (supervisor Dr. Tawfiq S. Barhoom), A Data Mining Based Fraud Detection Model for Water Consumption Billing System in MOG, MSc Thesis, Islamic University of Gaza, Information Technology Program, 2012, v. http://library.iugaza.edu.ps/thesis/106989.pdf

247. [B48, B65, B68, B69, B70, B75] Jahr R., Performance analyse und plattformspezifische Optimierungen am Beispiel des Grid-ALU-Prozessors, Dissertation zur Erlangung des akademischen Grades eines Doktors der Naturwissenschaften, Universität Augsburg, 182 pp., 2012, v. https://opus.bibliothek.uni-augsburg.de/opus4/frontdoor/deliver/index/docId/1884/file/Dissertation_Jahr.pdf

248. [Cca. 11 lucrari ale subsemnatului; A5, A9] R. G. Cretulescu, D. I. Morariu - TEXT MINING. TEHNICI DE CLASIFICARE ŞI CLUSTERING AL DOCUMENTELOR, Editura Albastra, ISBN 978-973-650-289-7, Cluj-Napoca, 2012

249. http://ro.wikipedia.org/wiki/Vasile_Baltac citeaza articolul meu „Maeștri ai ingineriei calculatoarelor. Pagini de istorie”, “Univers ingineresc”, anul XVIII, nr. 16 (398), 16-31 august 2007, Lucian N. Vințan, http://webspace.ulbsibiu.ro/lucian.vintan/html/Masters.pdf

250. [B45] Tim Schlüter, Stefan Conrad, Hidden markov model-based time series prediction using motifs for detecting inter-time-serial correlations, Proceedings of the 27th Annual ACM Symposium on Applied Computing (SAC ’12), pp. 158-164, ISBN: 978-1-4503-0857-1, Riva del Garda, Italy, March 2012, v. http://dl.acm.org/citation.cfm?id=2245308

251. [B69] Vincent BROST, Charles MEUNIER, Debyo SAPTONO, Fan YANG. Flexible VLIW processor based on FPGA for real-time image processing, Design and Architectures for Signal and Image Processing (DASIP), Conference on, 2-4 Nov. 2011, ISBN: 978-1-4577-0620-2 (http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=6136855)

252. Shahi, A. M., Issac, B. and Modapothala, J. R. (2012, May). Enhanced Intelligent Text Categorization using Concise Keyword Analysis. Proceedings of IEEE International Conference on Innovation, Management and Technology Research 2012 (ICIMTR 2012), Malacca, Malaysia, ISBN 978-1-4673-0653-9/978-1-4673-0654-6, pp. 574-579 - V. http://ieeexplore.ieee.org/xpl/articleDetails.jsp?reload=true&arnumber=6236461&contentType=Conference+Publications

253. [Multiple lucrari ale subsemnatului] recomandate ca bibliografie a cursului “Sisteme cu microprocesoare avansate” (master), conf. dr. ing. Cornel Popescu,

60

Page 61: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Departamentul de Calculatoare, UP Bucuresti – v. http://www.csit-sun.pub.ro/~cpop/?dir=./Sisteme_cu_Microprocesoare_Avansate_SMPA/SMPA_curs_master5AAC/SMPA_curs2 (vizualizat la 29.11.2012)

254. [B71] Bo Yang, Liang Guang, Tero Säntti, Juha Plosila, Parameter-Optimized Simulated Annealing for Application Mapping on Networks-on-Chip. In: Youssef Hamadi, Schoenauer Marc (Eds.), Learning and Intelligent Optimization Conference (LION 6), pp. 1-15, Lecture Notes in Computer Science, Springer, 2012 – v. https://www.haiti.cs.uni-potsdam.de/proceedings/LION12/PDF/p8.pdf

255. [A7] C. Cioranu et al., Improving Image Processing Systems by Using Software Simulated LRU Cache Algorithms, Informatica Economică, vol. 16, no. 4/2012, pp. 68-73, v. http://www.revistaie.ase.ro/content/64/08%20-%20Cioranu,%20Cioca,%20Cioca.pdf

256. [B46] Muntean M., Valean H., Wrappers for web access logs feature selection, Proceedings of the 2-nd International Conference on Web Intelligence, Mining and Semantics, Craiova, Romania, pp. 178-184, June 06 - 08, 2012, ISBN: 978-1-4503-0915-8, ACM NY USA - http://dl.acm.org/citation.cfm?id=2254156

257. [G8, G15] Pohoata G., THE JOURNAL ROLE IN SCIENTIFIC ACADEMIC RESEARCH, Cogito – Multidisciplinary Research journal, ISSN: 2066-7094, Vol. IV, nr. 1 / March, 2012, v. http://cogito.ucdc.ro/2012/vol4n2/en/1_the-journal-role-in-scientific-academic-research.pdf

258. [B38] Christian Voigtmann and Klaus David, A Survey To Location-Based Context Prediction, First Workshop on recent advances in behavior prediction and pro-active pervasive computing (AwareCast 2012), Newcastle, UK, June 2012 - http://www.ibr.cs.tu-bs.de/dus/Awarecast/awarecast2012_submission_9.pdf

259. https://ro.wikipedia.org/wiki/Modele_de_programare_paralel%C4%83 citeaza la Bibliografie lucrarea mea disponibila la http://webspace.ulbsibiu.ro/lucian.vintan/html/Curs_festiv_2009.pps

260. [B46] Muntean, Maria, and Honoriu Valean, The Influence of Support Vector Machine Kernel Parameters on Classification Accuracy, Smart Applications &Technologies for Electronic Engineering, SATEE 2012 (2012): 27, University of Alba-Iulia, v. http://satee.uab.ro/upls/SATEE2012.pdf#page=27

261. [B25] Malik, A.M., Optimal Tile Size Selection Problem Using Machine Learning, Machine Learning and Applications (ICMLA), 2012 11th International Conference on, Print ISBN: 978-1-4673-4651-1, pp. 275-280, Digital Object Identifier: 10.1109/ICMLA.2012.214, v. http://ieeexplore.ieee.org/xpl/articleDetails.jsp?reload=true&arnumber=6406709&contentType=Conference+Publications

262. [B36, E1.9] Conor Ryan, Kenneth N. Brown, Occupant Location Prediction Using Association Rule Mining, Workshop on AI Problems and Approaches for Intelligent Environments, in conjunction with the 20th European Conference on Artificial Intelligence (ECAI 2012), pp. 27-32, Montpelier, France, August 2012 - http://www2.lirmm.fr/ecai2012/images/stories/ecai_doc/pdf/workshop/W20_ProceedingsAI4IE.pdf#page=35

263. [B62] Mehdi Alipour, Esmaeil Zeinali Kh., Kamran Moshari, Ensiyeh S. F. Moghaddam, Performance, Power and Area Exploration of Cache for Embedded Applications, International Conference on Embedded Systems and Applications (ESA'12),

61

Page 62: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Las Vegas, Nevada, USA, July 2012 - http://elrond.informatik.tu-freiberg.de/papers/WorldComp2012/ESA2938.pdf

264. [G15, G22] M. Vlada, Conceperea şi elaborarea lucrărilor sţiintifice. Editarea formulelor matematice si a structurilor chimice. Teorema lui Green si aria unui poligon oarecare. Tehnologii e-Learning si software educational, Universitatea din Bucuresti, 2012, v. http://www.unibuc.ro/prof/vlada_m/docs/2012/iun/05_16_23_35C9-C10-Informatica.pdf; Citare si a lucrarii mele Scrierea si publicarea stiintifica, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf

265. [B45] Roberto Pugliese, Jayasimha Rao, and Santosh Tirunagari, Unsupervised approaches to visual analysis of human motion: towards automatic classification of activity and behavior - http://www.santosh-tirunagari.com/downloads/humanmotion.pdf

266. [B71] Bo Yang, Liang Guang, Tero Säntti, Juha Plosila, Mapping Multiple Applications with Unbounded and Bounded Number of Cores on Many-Core Networks-on-Chip, Microprocessors and Microsystems, ISSN: 0141-9331, eISSN: 0141-9331, 2012 – v. http://www.sciencedirect.com/science/article/pii/S0141933112001627 Scor relativ de influenta (SRI) = 0,489260143198091 (in anul 2012, cf. CNCS)

267. [B45] Tomáš Mikluščák, Michal Gregor and Aleš Janota, Using Neural Networks for Route and Destination Prediction in Intelligent Transport Systems. 12th International Conference on Transport Systems Telematics, TST 2012, Katowice-Ustroń, Poland, October 10–13, 2012. Selected Papers, Communications in Computer and Information Science vol. 329, pp. 380-387, DOI: 10.1007/978-3-642-34050-5_43, Springer-Verlag Berlin Heidelberg 2012 – v. http://www.springerlink.com/content/l433076052680g13/

268. [G22] Seceleanu A. et al, Curs pentru formarea continua a profesorilor de matematica si stiinte economice in societatea cunoasterii, Editura Fundatiei „Andrei Saguna” (rec. CNCSIS), I.S.B.N. 978-973-732-188-6, Constanța, 2012, v. https://trainereducatie.files.wordpress.com/2015/05/curs-pentru-formarea-continua-a-profesorilor-de-matematica-si-stiinte-economice-in-societatea-cunoasterii.pdf

269. [B63] Alam, F., Riccardi, G., & Chowdhury, S. A., Recognition of Personality Traits using Meta Classifiers, Technical Report, Università degli Studi di Trento, DOI: 10.13140/RG.2.1.2974.9844, January 2012, v. https://www.researchgate.net/profile/Firoj_Alam/publication/287994850_Recognition_of_Personality_Traits_using_Meta_Classifiers/links/567bd17008ae19758381abac.pdf

270. [B38] Manifar, Sara, Arm Movements Effects in Response to Posture Instability, Msc Thesis, Toronto, Ontario, Canada, 2012, Ryerson University, see http://digitalcommons.ryerson.ca/cgi/viewcontent.cgi?article=2281&context=dissertations

271. [B62] Mehdi Alipour, Hojjat Taghdisi, Seyed Hassan Sadeghzadeh, Multi objective design space exploration of cache for embedded applications, 25th IEEE Canadian Conference on Electrical & Computer Engineering (CCECE), pp. 1-4, ISSN: 0840-7789, Print ISBN: 978-1-4673-1431-2, Montreal, QC, Canada, May 2012 - http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6334940&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6334940

272. [B11] Rommel García Hernández et al, Desarrollo en FPGA de un Microprocesador RISC para la Enseñanza de Arquitecturas de Computadoras y Desarrollo de SoC en Sistemas Embebidos, pp. 264-272, Congreso Internacional de Computación - CICOM 2012, v. http://www.researchgate.net/publication/264971891_Desarrollo_en_FPGA_de_un_Microproc

62

Page 63: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

esador_RISC_para_la_Enseanza_de_Arquitecturas_de_Computadoras_y_Desarrollo_de_SoC_en_Sistemas_Embebidos

273. [B11] Gene Sher, The Quintessential Neural Network Programming Language, PROCEEDINGS OF THE 24-TH SYMPOSIUM ON IMPLEMENTATION AND APPLICATION OF FUNCTIONAL LANGUAGES (IFL 2012), Springer, pp. 203-218, v. http://www.cs.ox.ac.uk/files/5260/CS-RR-12-06.pdf; http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.362.3682&rep=rep1&type=pdf#page=204

274. [B38, B45] Sawsan M. Mahmoud, Identification and Prediction of Abnormal Behaviour Activities of Daily Living in Intelligent Environments, PhD Thesis, Nottingham Trent University, May 2012 – v. http://www.lotfi.net/download/thesis/Identification%20and%20Prediction%20of%20Abnormal%20Behaviour%20Activities%20of%20Daily%20Living%20in%20Intelligent%20Environments%20-%20Sawsan%20Mahmoud.pdf (utilizeaza algoritmul de predictie cu HMM de ordin 1 dezvoltat de noi in [B45])

275. [B38, B45] A. Boytsov, Situation Awareness in Pervasive Computing Systems: Reasoning,Verification, Prediction, PhD Thesis, Department of Computer Science, Electrical and Space Engineering Luleå University of Technology, SE-971 87 Luleå, Sweden, October 2012, v. http://pure.ltu.se/portal/files/43092197/Andrey_Boytsov.Komplett.pdf

276. [B65] Hung, Shih-Hao, ADSET: A framework of rapid design space exploration for Android-based systems, Consumer Electronics (GCCE), 2012 IEEE 1st Global Conference on, pp. 586 – 587, Print ISBN: 978-1-4673-1500-5, 2-5 Oct. 2012, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6379922&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6379922

277. [B38] Sawsan Mahmoud, Ahmad Lotfi, Caroline Langensiepen, Behavioural pattern identification and prediction in intelligent environments, Applied Soft Computing, Elsevier, ISSN: 1568-4946, vol. 13, Issue 4, April 2013 - http://www.sciencedirect.com/science/article/pii/S156849461200556X; Impact factor = 2.860, Scor relativ de influenta (SRI) = 1,11207834602829 (in anul 2012, cf. CNCS)

278. [B11] Gene I. Sher, Handbook of Neuroevolution Through Erlang, ISBN: 978-1-4614-4462-6 (Print) 978-1-4614-4463-3 (Online), Springer New York, 2013, see http://link.springer.com/chapter/10.1007%2F978-1-4614-4463-3_1?LI=true#

279. [B69] Fan Yang, Vincent Brost, C. Meunier, Flexible VLIW processor based on FPGA for efficient embedded real-time image processing, Journal of Real-Time Image Processing (2013): 1-13, January 17, 2013, Springer-Verlag, ISSN: 1861-8200 (Print) 1861-8219 (Online), see http://hal.archives-ouvertes.fr/hal-00785262/

280. [B46, B49] V. Baby Deepa, Dagging Meta Classifiers with Support Vector Machine, International Journal of Engineering Research & Technology (IJERT), ISSN: 2278-0181, Vol. 2, Issue 2, February 2013 – v. http://www.ijert.org/browse/volume-2-2013/february-2013-edition?start=70

281. [B77] Carlos A. Coello Coello, List of References on Evolutionary Multiobjective Optimization, v. http://delta.cs.cinvestav.mx/~ccoello/EMOO/EMOObib.html (accesata la 05.04.2013)

282. Lucrarea mea (contributia mea din [G22]) Scrierea si publicarea stiintifica, publicata pe site-ul UT Cluj-Napoca la adresa http://research.utcluj.ro/index.php/scrierea-publicarea-si-etica.html

63

Page 64: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

283. [B71] Fredy Segura-Quijano, F. Escobar-Juzga Performance Analysis of a JPEG Encoder Mapped Onto A Virtual MPSoC-NoC Architecture Using TLM 2.0.1, Journal of Circuits, Systems and Computers, IF=0.28 (in 2013), doi: 10.1142/S0218126613500369, ISSN 0218-1266, June 2013, Vol. 22, No. 05 – v. http://www.worldscientific.com/doi/abs/10.1142/S0218126613500369; Scor relativ de influenta (SRI) = 0,143198090692124 (in anul 2012, cf. CNCS - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/)

284. Lucrarea mea (contributia mea din [G22]) Scrierea si publicarea stiintifica, citata in: Ganescu M., Gangone A., MONITORING AND ASSESSING THE RESEARCH ON CORPORATE SOCIAL RESPONSIBILITY PUBLISHED IN ROMANIAN ECONOMIC JOURNALS, Management&Marketing, volume XI, issue 2/2013, v. http://www.mnmk.ro/documents/PDF_MNMK_2_2013/6-13-2-13.pdf

285. [B69] Balaprakash, Prasanna and Tiwari, Ananta and Wild, Stefan M, Multi-Objective Optimization of HPC Kernels for Performance, Power, and Energy, Preprint ANL/MCS-P4069-0413, April 2013, High Performance Computing Systems. Performance Modeling, Benchmarking and Simulation, pp. 239-260, 2014 http://www.mcs.anl.gov/publications/

286. [B51] Parrochia D., Neuville P., Towards a General Theory of Classifications, ISBN 978-3-0348-0608-4, Springer Basel, 2013

287. Raisa Borcoman, DEONTOLOGIE ŞI COMUNICARE ŞTIINŢIFICĂ (studii doctorat), ACADEMIA DE STUDII ECONOMICE, Chisinau 2013, v. http://scldoct.ase.md/wp-content/uploads/2013/07/programa-analitica-disciplini-optionale.pdf (citat Vințan L., Fertilitatea stiintifica si axiologia cercetarii românesti. Euroeconomia XXI, ISSN 1841-0707, nr. 34, Sibiu, 2005)

288. [B78] F. A. ESCOBAR-JUZGA and F. E. SEGURA-QUIJANO, PERFORMANCE ANALYSIS OF A JPEG ENCODER MAPPED ONTO A VIRTUAL MPSoC-NoC ARCHITECTURE USING TLM 2.0.1, J CIRCUIT SYST COMP 22, Volume 22, Issue 05, June 2013, 1350036 (2013) [22 pages] DOI: 10.1142/S0218126613500369, impact factor=0.24, v. http://www.worldscientific.com/doi/abs/10.1142/S0218126613500369?journalCode=jcsc http://j92a21b.ee.ncku.edu.tw/broad/report100/2012-09-03/Perform%20Analy%20JPEG%20Encoder%20to%20Virtual%20MPSoC-NoC%20Archit%20Usin%20TLM%202.pdf

289. [A9, B41, B77] Istvan LORENTZ, Calcul paralel pe procesoare multi-core si grafice, Teza de doctorat, Universitatea „Transilvania” din Brașov, iulie 2013 (cond. stiintific: prof. univ. dr. Răzvan ANDONIE) – v. http://www.unitbv.ro/Portals/31/Sustineri%20de%20doctorat/Rezumate/LorentzIstvan.pdf

290. [B38] Mamun Bin Ibne Reaz, Mohd. Marufuzzaman, Pattern Matching and Reinforcement Learning to Predict the User Next Action of Smart Home Device Usage, Acta Technica Corviniensis, ISSN 2067 – 3809, Tome 6, Fascicule 3, July-September 2013, v. http://acta.fih.upt.ro/pdf/2013-3/ACTA-2013-3-05.pdf

291. [B63] Manshor N. et al, Fusion of global shape and local features using meta-classifier framework, International Review on Computers and Software 8(9):2113-2117, September 2013, v. http://www.praiseworthyprize.org/jsm/index.php?journal=irecos&page=article&op=view&path%5B%5D=13796

292. [B38] Mohd. Marufuzzaman, Mamun Bin Ibne Reaz, Hardware Simulation of Pattern Matching and Reinforcement Learning to Predict the User Next Action of Smart Home Device

64

Page 65: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Usage, World Applied Sciences Journal, Vol. 22, No. 9, ISSN 1818-4952, 2013 – v. http://www.idosi.org/wasj/wasj22%289%2913/12.pdf

293. [B38] Mamun Bin Ibne Reaz, Artificial Intelligence Techniques for Advanced Smart Home Implementation, Acta Technica Corviniensis, ISSN 2067-3809, Tome 6, Fascicule 2, April-June 2013 - http://acta.fih.upt.ro/pdf/2013-2/ACTA-2013-2-07.pdf

294. [B51, B63] Kaur H., Malhotra S., Online News Classification: A Review, International Journal of Innovations in Engineering and Technology, ISSN: 2319-1058, Volume 2, Issue 2, pp. 340-342, 2013, v. http://ijiet.com/wp-content/uploads/2013/05/48.pdf

295. [B51] Sinduja.J, S.Prathiba, Quantitative Analysis Of Hrct Images Using Knn Classifier, International Journal of Computer Trends and Technology (IJCTT) – volume 4 Issue 6–June 2013, ISSN: 2231-2803, pp. 1919-1922

296. [B38] Chavan H., Sane S., Kekre H., Neural Network based Mobility aware Prefetch Caching and Replacement Strategies in Mobile Environment, (IJACSA) International Journal of Advanced Computer Science and Applications, Vol. 4, No. 5, pp. 154-160, U.S ISSN: 2158-107X (Print), 2013 - http://thesai.org/Downloads/Volume4No5/Paper_21-Neural_Network_based_Mobility_aware_Prefetch.pdf; 2012 Impact Factor: 1.324

297. [B69] Prasanna Balaprakash, Ananta Tiwariy, Stefan M. Wild, Multi-Objective Optimization of HPC Kernels for Performance, Power, and Energy, Preprint ANL/MCS-P4069-0413, ARGONNE NATIONAL LABORATORY 9700 South Cass Avenue Argonne, Illinois 60439, April 2013, v. http://www.mcs.anl.gov/papers/P4069-0413.pdf

298. [B51, B63] Kaur H., Malhotra S., Inner Classification of Clusters for Online News, International Journal of Computer Science Trends & Technology, ISSN: 2347-8578, Volume 1, Issue 1, pp. 22-27, 2013, v. http://www.ijcstjournal.org/volume-1/issue-1/ijcstjournal-v1i1p4.pdf

299. [B66] G Georgakarakos, M Daneshtalab, J. Plosila, Efficient application mapping in resource limited homogeneous NoC-based manycore systems, High Performance Computing and Simulation (HPCS), 2013 International Conference on, pp.207-212, ISBN 978-1-4799-0836-3, July 1-5, 2013, Helsinki, Finland, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6641415&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6641415

300. [B36, B38, B45] Conor Ryan, Kenneth N. Brown, Predicting Occupant Locations Using Association Rule Mining, Research and Development in Intelligent Systems XXX, ISBN 978-3-319-02620-6, Springer International Publishing, 2013, pp 63-77, see http://link.springer.com/chapter/10.1007/978-3-319-02621-3_5, http://www.cs.ucc.ie/~kb11/Papers/AI2013RyanBrown.pdf

301. [B38] Huang Liang, Hu Li, Yuan Yao, Han Xue, Shi Jing-lin, Multicast Paging Scheme Based on Bipartite Graph Matching Model, Journal of System Simulation, Issue 5, pp. 1014-1023, 2013, v. http://d.wanfangdata.com.cn/periodical_xtfzxb201305027.aspx

302. [A8, B70, B72] Florea A. et al, Using FOCAP Tool for Teaching Microarchitecture Simulation and Optimization, Proceedings of the 17th International Conference on System Theory, Control and Computing, Sinaia, 11-13th October 2013, ISBN 978-1-4799-2228-4, pp. 225-230 – v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6688964&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6688964

303. [A9] Gheorghe TOACŞE, Arhitectura si organizarea microprocesoarelor, (253 pagini), Universitatea „Transilvania” din Brasov, Facultatea de Inginerie Electrica si Stiinta

65

Page 66: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Calculatoarelor, Brasov, 2013, disponibil online la http://etc.unitbv.ro/~toacse/TOACSE_microprocesoare2013.pdf

304. [B45] Xu Zhang, Cell Identity Allocation and Optimisation of Handover Parameters in Self-organised LTE Femtocell Networks, University of Bedfordshire, UK, 2013 – v. http://www.google.ro/url?sa=t&rct=j&q=&esrc=s&source=web&cd=160&cad=rja&uact=8&ved=0CFYQFjAJOJYB&url=http%3A%2F%2Fuobrep.openrepository.com%2Fuobrep%2Fbitstream%2F10547%2F335874%2F1%2Fzhangx.pdf&ei=dLHIVLrfHMz_UvCvgOAL&usg=AFQjCNEAoWPtIhPIA5NmTy3p02KtZ34t8A&sig2=3QztfRuP2D9l69JwfdNipg

305. [B38, B41, B45, B48, B53, B58, B62] Gellert A., Florea A., Investigating a New Design Pattern for Efficient Implementation of Prediction Algorithms, Journal of Digital Information Management, ISSN: 09727272, October 2013, Vol. 11 Issue 5, pp. 366-377 – v. http://www.researchgate.net/publication/259190611_Investigating_a_New_Design_Pattern_for_Efficient_Implementation_of_Prediction_Algorithms

306. [B71] Chung, H., Optimal Network Topologies and Resource Mappings for Heterogeneous Networks-on-Chip, PhD Thesis, Portland State University, 2013, v. https://pdxscholar.library.pdx.edu/cgi/viewcontent.cgi?referer=http://scholar.google.com/&httpsredir=1&article=1996&context=open_access_etds

307. [B36] Chondrodima, E., Alexandridis, A. & Pelekis, N. (2013). WP2 @ DATASIM. Prediction in Activity-Travel Diaries using Neural Networks, Technical Report 001, DATASIM, 19 pages, v. http://www.uhasselt.be/UH/datasim/Dissemination/Dissemenation-Publications.html, http://www.uhasselt.be/Documents/datasim/Papers/(D2.2)(10)TR-DATASIM-001-2013-ANN.pdf

308. [B71] Bo Yang, Towards Optimal Application Mapping for Energy-Efficient Many-Core Platforms, PhD Thesis, University of Turku, Department of Information Technology, Turku, Finland, December 2013, v. http://www.doria.fi/bitstream/handle/10024/93769/TUCSDissD167%20ebook.pdf?sequence=2

309. [B11] Snigdha M. Mohapatra, Pradipta Kumar Mishra, More Accurate Value Prediction Using Neural Methods, International Journal of Computer Science and Technology, vol. 4, issue 3-1, ISSN 2229-4333, 2013 - See http://ijcst.com/archives/vol-4-issue-2-4/vol-4-issue-3-3/vol-4-issue-3-2/vol-4-spl-3/vol-4-issue-3-1/#sthash.P2TYQfBA.dpbs

310. [B79, B80] Rolf Kiefhaber, Ralf Jahr, Nizar Msadek, Theo Ungerer, Ranking of Direct Trust, Confidence, and Reputation in an Abstract System with Unreliable Components, Proceedings of the 10th International Conference on Autonomic and Trusted Computing, ISBN 978-1-4799-2481-3, Digital Object Identifier: 10.1109/UIC-ATC.2013.79, pp. 388 – 395, Vietri sul Mare, Italy, December 2013 = http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=6726234

311. [B71] Sebastian Schlingmann, Task-Platzierung auf Many-Core-Prozessoren mit fehlerhaften Komponenten, PhD Thesis, Fakultät für Angewandte Informatik der Universität Augsburg, 2013, v. http://opus.bibliothek.uni-augsburg.de/opus4/frontdoor/index/index/docId/2564

312. [B79, B80] Zhang J. et al, Facile fabrication of Janus magnetic microcapsules via double in situ miniemulsion polymerization, Polymer Chemistry, Issue 5, pp. 1459-1466, 2013, v.

66

Page 67: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

https://www.researchgate.net/publication/235956602_Facile_fabrication_of_Janus_magnetic_microcapsules_via_double_in_situ_miniemulsion_polymerization (IF=5.39)

313. [C bis14] ***, Istoria informaticii în România, Wikipedia (Ro), v. https://ro.wikipedia.org/wiki/Istoria_informaticii_%C3%AEn_Rom%C3%A2nia (accesat la 28.01.2019)

314. [C bis14] ***, MECIPT, Wikipedia (Ro), v. https://en.wikipedia.org/wiki/MECIPT (accesat la 28.01.2019)

315. [C bis14] ***, CIFA (computer), Wikipedia (Ro), v. https://en.wikipedia.org/wiki/CIFA_(computer) (accesat la 28.01.2019)

316. [C bis14] zdv, 1.001 de premiere bănăţene… Primul computer din mediul universitar, Ziua de vest, v. https://www.ziuadevest.ro/1001-de-premiere-bnene-primul-computer-din-mediul-universitar/ (accesat la 28.01.2019)

317. [C bis14] Stratan Z. (ed.), Profesia de inginer si societatea, Universitatea Tehnica a Moldovei, Chisinau 2008, v. http://library.utm.md/Editat/BIBLIOTECA/Bibliografii/Bibliografie_Profesia_de_inginer_si_societatea_DS.pdf (accesat la 28.01.2019)

318. [C bis14] Ciuchi C., Botan C., CMS. Instrument de comunicare online pentru administrația publică, UEFISCDI București 2014, v. https://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=19&cad=rja&uact=8&ved=2ahUKEwjXj43HlpHgAhWEWywKHYNVC4c4ChAWMAh6BAgEEAI&url=https%3A%2F%2Fwww.uefiscdi.ro%2Fdownload.php%3Fid%3D6338%26format%3D2-CMS%26wtok%3D7493655d33cf07b3e33edfbe52f1ec858468705d%26wtkps%3DXY9LDsIwDETvkjWUuK2V4N4BIXEAVJpQIgKlpOEjxN1xihCC3Wg8zx7XpOkRCElch70PonIEoEqFGqtABYngjEhqTgLazaUMftMfY7gp3yhQsb%2F5%2BxR2cyOxnZoOMPYpDiSceC8oC0yKee%2FCsD7VrU1XJHvq4%2FFdQypxbBlzOi5Xs0LJXOdaok54zhu%2FzoQr6lIiwjgEHKnFHwOSO%2F8Ec37Tjr1YHToTvc26c5tFu3WhMS67OHvN6vPgms6L6vkC%26wchk%3D98fd8f927a350e58e2ccea3f2fc337c2c4262b8c&usg=AOvVaw0sdsovA3s4bcgSVubxm3oO

319. [B50] Nadir Omer Fadl Elssied, Othman Ibrahim and Ahmed Hamza Osman, A Novel Feature Selection Based on One-Way ANOVA F-Test for E-Mail Spam Classification, Research Journal of Applied Sciences, Engineering and Technology 7(3): 625-638, ISSN: 2040-7459; e-ISSN: 2040-7467, pp. 625-638, Maxwell Scientific Organization, 2014; disponibil la http://maxwellsci.com/print/rjaset/v7-625-638.pdf (cca. 466 citari pana la acest punct; 275+191=466)

320. [B63] Ding S. et al., Polynomial Smooth Twin Support Vector Machines, Appl. Math. Inf. Sci. 8, No. 4, pp. 2063-2071, 2014, ISSN 1935-0090 (print), v. http://naturalspublishing.com/files/published/3nzx7g33uu7175.pdf (2012 IF=0.731)

321. [B50] Nadir Omer Fadl Elssied, et al, AN IMPROVED OF SPAM E-MAIL CLASSIFICATION MECHANISM USING K-MEANS CLUSTERING, Journal of Theoretical and Applied Information Technology, ISSN: 1992-8645, 28th February 2014. Vol. 60 No.3, pp.568-580, v. http://www.jatit.org/volumes/Vol60No3/13Vol60No3.pdf

322. [B63] Remya K R, Ramya J S, A Survey of Machine Learning Approaches for Relation Classification from Biomedical Texts, International Journal of Emerging Technology

67

Page 68: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

and Advanced Engineering, ISSN 2250-2459, ISO 9001:2008 Certified Journal, Volume 4, Issue 3, pp. 143-148, March 2014, v. http://www.ijetae.com/files/Volume4Issue3/IJETAE_0314_26.pdf

323. [B74] Saravana Priya, D., and M. Karthikeyan, Clustering sentences to discover events from multiple news articles using Buckshot and Fractionation, Computational Intelligence and Computing Research (ICCIC), 2014 IEEE International Conference on. IEEE, 2014, v. http://scholar.google.com/scholar?oi=bibs&hl=ro&cites=6278388892746959977

324. [B75, B79] Andras Szabolcs Nagy, Multi-Objective Optimization in Rule-Based Design Space Exploration, Technical Report, Budapest University of Technology and Economics, October 2014, v. http://tdk.bme.hu/vik/DownloadPaper/Tobbcelu-optimalizacios-modszerek-szabaly

325. [B50] Aparna Choudhary, Jai Kumar Saraswat, Survey on Hybrid Approach for Feature Selection, International Journal of Science and Research (IJSR), ISSN (Online): 2319-7064, Volume 3, Issue 4, pp. 438-439, April 2014, v. http://www.ijsr.net/archive/v3i4/MDIwMTMxNDk2.pdf

326. [B62] Mutaz Al-Tarawneh, Ashraf Alkhresheh, Towards An Optimal Multicore Processor Design for Cryptographic Algorithms – A Case Study on RSA, WSEAS TRANSACTIONS on COMPUTERS, ISSN: 1109-2750, E-ISSN: 2224-2872, Volume 13, 2014, pp. 54-77, v. http://www.wseas.org/multimedia/journals/computers/2014/a045705-446.pdf

327. [B69] Luiz G. A. Martins, et al, A Design Space Exploration tool for combine code transformations and cache configuration for a open-source softcore processor, X Jornadas sobre Sistemas Reconfiguráveis, REC 2014, April 13 2014, Vilamoura-Algarve, ISBN: 978-989-98875-1-0, pp. 35-38, v. http://paginas.fe.up.pt/~specs/events/rec2014/files/Atas.pdf#page=43

328. [B63] Shifei Ding, Huajuan Huang, Junzhao Yu, Fulin Wu, Polynomial Smooth Twin Support Vector Machines Based on Invasive Weed Optimization Algorithm, Journal of Computers, Vol 9, No 5 (2014), 1226-1233, May 2014, doi:10.4304/jcp.9.5.1226-1233, ISSN 1796-203X, v. http://ojs.academypublisher.com/index.php/jcp/article/view/jcp090512261233

329. [B38, B45] Bien, Q., Prasad, R.V., Chandra, K., Niemieegers, I. and Nguyen, H. (2014), Resource management in indoor hybrid Fi-Wi network. Trans Emerging Tel Tech. doi: 10.1002/ett.2836, Online ISSN: 2161-3915, IF=1.049, v. http://onlinelibrary.wiley.com/doi/10.1002/ett.2836/abstract

330. [B25] J. Won, X. Chen, P. V. Gratz, J. Hu, and V. Soteriou, Up By Their Bootstraps: Online Learning in Artificial Neural Networks for CMP Uncore Power Management, The 20-th IEEE International Symposium on High Performance Computer Architecture (HPCA), Digital Object Identifier :10.1109/HPCA.2014.6835941, pp. 308 – 319, Feb. 2014, see http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6835941&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6835941 (sau http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=11502825916663794276&scipsc= )

331. [B77] Florea A., Gellert A., DIFFERENT APPROACHES FOR SOLVING OPTIMIZATION PROBLEMS USING INTERACTIVE E-LEARNING TOOLS, The 10th International Scientific Conference eLearning and software for Education Bucharest, April 24-25, 2014, 10.12753/2066-026X-14-081, v.

68

Page 69: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

http://webspace.ulbsibiu.ro/adrian.florea/html/docs/081-889_different-approaches-for-solving_.pdf

332. [B38] MIKLUSCAK T., JANOTA A., How to Predict Location and for What to Use It?, 15-th International Carpathian Control Conference (ICCC), Velké Karlovice, Czech Republic: 28-30 May 2014, pp. 351-356, IEEE Catalog number: CFP1442L-CDR, ISBN 978-1-4799-3527-7, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6843626&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6843626; http://scholar.google.com/scholar?start=20&hl=ro&as_sdt=0,5&sciodt=0,5&cites=5585456914038214255&scipsc=

333. [B78, B81] Yin Zhen Tei et al, Network-on-Chip Application Mapping based on Domain Knowledge Genetic Algorithm, Advances in Robotics, Mechatronics and Circuits, Proceedings of the 18th International Conference on Circuits (part of CSCC '14), ISBN: 978-1-61804-242-2, pp. 115-120, Santorini Island, Greece, July 17‐21, 2014, v. http://www.europment.org/library/2014/santorini/bypaper/ROBCIRC/ROBCIRC-17.pdf

334. [B11] citata in https://en.wikipedia.org/wiki/Branch_predictor#Neural_branch_prediction

335. [B65, B80, C50] Rolf Kiefhaber, Calculating and Aggregating Direct Trust and Reputation in Organic Computing Systems, PhD Thesis (PhD Advisor Prof. T. Ungerer), University of Augsburg, 06.02.2014, v. http://www.google.ro/url?sa=t&rct=j&q=&esrc=s&source=web&cd=1&ved=0CB0QFjAA&url=http%3A%2F%2Fopus.bibliothek.uni-augsburg.de%2Fopus4%2Ffiles%2F2640%2FDissertation_Rolf_Kiefhaber.pdf&ei=zc3YU47SCKLpywOYhYKYDA&usg=AFQjCNHA2sLksK7YBst93AwePt10K2el_A&sig2=T0gu78Q9-SHDZNbwWAaXMw&bvm=bv.71778758,d.bGQ

336. [B72] Anghel T. et al, Developing Online Collaborative Games for e-Learning Environments, LNCS 7697 - New Horizons in Web Based Learning, pp. 221-230, ISBN 978-3-662-43453-6, 2014, v. http://link.springer.com/chapter/10.1007%2F978-3-662-43454-3_23#page-2; http://link.springer.com/chapter/10.1007/978-3-662-43454-3_23

337. [B79, B75] Nagy, András Szabolcs, (Advisors: Akos Horváth, and Dániel Varró), "Parallel algorithms in design space exploration", MSc Thesis, Budapest University of Technology and Economics, December 2014, v. http://docs.inf.mit.bme.hu/thesis-works/pdfs/nagy-andras-szabolcs-msc.pdf

338. [B78, B81] Yin Zhen Tei et al, Network Partitioning Domain Knowledge Multi-Objectives Application Mapping for Large-Scale Network-on-Chip, Applied Computational Intelligence and Soft Computing, ISSN: 1687-9724 (Print), 2014 v. http://www.hindawi.com/journals/acisc/aip/867612/

339. [E1.9] Karouni A. et al, APPLYING DECISION TREE ALGORITHM AND NEURAL NETWORKS TO PREDICT FOREST FIRES IN LEBANON, Journal of Theoretical and Applied Information Technology, Vol. 63 No.2, pp. 282-291, ISSN: 1992-8645, 2014, v. http://www.jatit.org/volumes/Vol63No2/6Vol63No2.pdf

340. [B38] Christian Voigtmann, An algorithmic approach for collaborative - based prediction of user contexts in ubiquitous environments under consideration of legal implications, PhD Thesis, 206 pp., PhD Advisor: Prof. Dr. Klaus David, Fachbereich Elektrotechnik/Informatik der Universität Kassel, Germany, 2014, v. http://d-nb.info/1047736705/34

69

Page 70: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

341. [B77] Carlos A. Coello Coello, List of References on Evolutionary Multiobjective Optimization, CINVESTAV-IPN, Departamento de Computación, Av. Instituto Politécnico Nacional No. 2508, Col. San Pedro Zacatenco, México, D.F. 07300, August 2014, v. http://delta.cs.cinvestav.mx/~ccoello/EMOO/EMOObib.html

342. [B80] C. Brugger, D. Hillenbrand, M. Balzer, RIVER: Reconfigurable Flow and Fabric for real-time Signal Processing on FPGAs, ACM Transactions on Reconfigurable Technology and Systems (TRETS), ISSN:1936-7406, EISSN:1936-7414, Volume 7 Issue 3, August 2014

343. [B38] Christian Koehler et al., Indoor-ALPS: An Adaptive Indoor Location Prediction System, UBICOMP '14, ISBN: 978-1-4503-2968-2, pp.171-181, SEPTEMBER 13 - 17, 2014, SEATTLE, WA, USA

344. [B75, B79] Hani Abdeen et al., Multi-Objective Optimization in Rule-Based Design Space Exploration, Proceedings of the 29th ACM/IEEE international conference on Automated software engineering, pp. 289-300, ISBN: 978-1-4503-3013-8, Västerås, Sweden, 2014

345. [B38, B45, B57] A. Gellert, A. Florea, Web page prediction enhanced with confidence mechanism, Journal of Web Engineering, Rinton Press, Vol. 13, No. 5&6, ISSN 1540-9589, pp. 507-524, 2014

346. [B38] Christian Voigtmann, Klaus David, Collaborative Context Prediction, Socio-technical Design of Ubiquitous Computing Systems, ISBN 978-3-319-05043-0, Springer International Publishing, 2014, pp 131-150, v. http://link.springer.com/chapter/10.1007/978-3-319-05044-7_8

347. [B56] Nelson H. F. Beebe, A Complete Bibliography of Scalable Computing: Practice and Experience, pp. 49/70, University of Utah, Department of Mathematics, 110 LCB, 155 S 1400 E RM 233, Salt Lake City, UT 84112-0090, USA, 12 August 2014, v. http://www.e-bookspdf.org/view/aHR0cDovL2Z0cC5tYXRoLnV0YWguZWR1L3B1Yi90ZXgvYmliL3NjcGUucGRm/QSBDb21wbGV0ZSBCaWJsaW9ncmFwaHkgT2YgU2NhbGFibGUgQ29tcHV0aW5nOiBQcmFjdGljZQ==

348. [B62] Peng Chen, Chao Wang, Xi Li, Xuehai Zhou, Multi-objective aware design flow for coarse-grained systems on chip, 20-th International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), DOI:10.1109/RTCSA.2014.6910517, 2014, IEEE, pp. 1-8

349. [B38] H. Bapierre, Context Specific Next Location Prediction, PhD Thesis, Fakultat fur Informatik der Technischen Universitat Munchen, 2014, v. http://d-nb.info/1054752893/34

350. [B76] Kalisch M, Przystalka P, Timofiejczuk A, Actuator Fault Diagnosis Using Single and Meta-Classification Strategies, 2nd IFIP WG 12.6 International Workshop on Artificial Intelligence for Knowledge Management (AI4KM) 2014, IFIP Advances in Information and Communication Technology, Volume: 469, Pages: 132-149, 2015,  DOI: 10.1007/978-3-319-28868-0_8, WOS:000371571500008,  http://apps.webofknowledge.com.am.enformation.ro/full_record.do?product=UA&search_mode=GeneralSearch&qid=1&SID=T1UXwhpSWYkooJy9xs1&page=1&doc=1

70

Page 71: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

351. [B76] Mateusz Kalisch, Piotr Przystałka, Anna Timofiejczuk, Application of selected classification schemes for fault diagnosis of actuator systems, Proceedings of the 2014 Federated Conference on Computer Science and Information Systems, pp. 1381–1390, ISBN 978-83-60810-58-3, DOI: 10.15439/2014F158, Warsaw, September 2014, v. https://fedcsis.org/proceedings/2014/pliks/158.pdf; (cca. 500 citări până la acest punct)

352. [B79] Alessandro Barenghi, Gerardo Pelosi, Giampaolo Agosta, Massimo Maggi, Design Space Extension for Secure Implementation of Block Ciphers, DOI: 10.1049/iet-cdt.2014.0037, IET Computers & Digital Techniques, 2014

353. [B45] Gazdík, B. M. (2014). Rozšíření platformy TelosB o detekci pohybu a čipovou kartu (Doctoral dissertation, Masarykova univerzita, Fakulta informatiky), 2014, v. http://is.muni.cz/th/395678/fi_m/text_prace.pdf354. [B38, B45] Quang Van Bien, Handoff Management in Radio over Fiber 60 GHz Indoor Networks, PhD Thesis, University of Delft, ISBN 978-90-6464-830-4, The Netherlands, November 2014, v. http://www.google.ro/url?sa=t&rct=j&q=&esrc=s&source=web&cd=2&ved=0CCUQFjAB&url=http%3A%2F%2Frepository.tudelft.nl%2Fassets%2Fuuid%3Ab913c0ee-fa19-44a6-a7ef-41fecde7125c%2F2014-VBIEN-thesis.pdf&ei=oW5aVMnZOI-V7AbVtoHoAg&usg=AFQjCNGyfyu8_TbI9oYbyLaD4caTW9WDfw&sig2=Y1Tc2G9VGkKLH4rxOqVgSg

355. [B65] Yazdani, R., Sheidaeian, H., & Salehi, M. E., A fast design space exploration for VLIW architectures, In Electrical Engineering (ICEE), May 2014, 22-nd Iranian Conference on (pp. 856-861). IEEE.; v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6999656&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6999656; https://www.researchgate.net/publicliterature.PublicLiterature.search.html?type=keyword&search-keyword=A+fast+design+space+exploration+for+VLIW+architectures

356. [B38] Thomason Alasdair, Leeke Matthew and Griffiths Nathan, Understanding the impact of data sparsity and duration for location prediction applications. In: International Conference on Mobility and Smart Cities, Rome, Italy, 27-28 Oct 2014, v. http://www.dcs.warwick.ac.uk/people/academic/N.E.Griffiths/resources/Publications/mobilityIoTa-2014.pdf

357. [B50] N. Elssied et al, Enhancement of spam detection mechanism based on hybrid k-mean clustering and support vector machine, Soft Computing, ISSN 1432-7643, DOI 10.1007/s00500-014-1479-2, Springer Berlin Heidelberg, November 2014, v. http://link.springer.com/article/10.1007/s00500-014-1479-2

358. [B65] Hung, Shih Hao, et al., Exploring the Design Space for Android Smartphones, Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS), pp. 215-222, ISBN 978-1-4799-4333-3, 2014 Eighth International Conference on. IEEE, July 2 - 4, 2014, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6975466&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6975466

359. [B79] Li Liu, Qianru Wang, Jianzhou Wang and Ming Liu, A ROLLING GREY MODEL OPTIMIZED BY PARTICLE SWARM OPTIMIZATION IN ECONOMIC PREDICTION, Computational intelligence, Online ISSN: 1467-8640, 2014, DOI: 10.1111/coin.12059, v. http://onlinelibrary.wiley.com/doi/10.1111/coin.12059/abstract;jsessionid=65BA590A7B8E1

71

Page 72: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

4BB2E5679EC31715129.f04t02?deniedAccessCustomisedMessage=&userIsAuthenticated=false

360. [B68] JOSÉ TISSEI, et al., O IMPACTO DO TAMANHO DO BUFFER DE BUSCA DE INSTRUÇÕES EM RELAÇÃO À LARGURA DO ESTÁGIO DE BUSCA EM UMA GPU, Journal of Exact Sciences, vol.3, n.1, pp.17-20, 2014, Online ISSN: 2358-0348, Brasil, v. http://www.mastereditora.com.br/periodico/20141123_163122.pdf

361. [B78, B81] Tei, Yin Zhen, et al., Energy-Aware Network-on-Chip Application Mapping Based on Domain Knowledge Genetic Algorithm, Proceeding of the Electrical Engineering Computer Science and Informatics 1.1 (2014): 86-90, v. http://portalgaruda.org/journals/index.php/EECSI/article/view/352

362. [B11] Snigdha M. Mohapatra, Pradipta K. Mishra, A Novel Approach for Confidence Estimation using Support Vector Machines for more Accurate Value Prediction, International Journal of Computer Applications (0975 – 8887), International Conference on Emergent Trends in Computing and Communication (ETCC-2014), v. http://research.ijcaonline.org/etcc/number1/etcc1413.pdf

363. [C53] M. Vlada, Universitatea şi noi paradigme-obiective, probleme şi soluţii, 2014, v. http://mvlada.blogspot.ro/2014/05/universitatea-si-noi-paradigme.html

364. [B45] Amrita K. Et al, Modified Artificial Potential Fields Algorithm for Mobile Robot Path Planning, International Journal for Research in Applied Science & Engineering Technology (IJRASET), Special Issue-3, November 2014, SJ Impact Factor-3.995 ISSN: 2321-9653, v. http://www.ijraset.com/fileserve.php?FID=1274

365. [B88] Elon Bauer, Joseph Carlos, Thermal Management Using PCM-Based Heatsinks, Carnegie Mellon University, 2014 v. http://www.contrib.andrew.cmu.edu/~eob/eob_jcarlos_final.pdf

366. [B65] Lerm R. et al, A model-based design space exploration for embedded image processing in industrial applications, Industrial Informatics (INDIN), 2014 12th IEEE International Conference on, pp. 434-439, IEEE, DOI 10.1109/INDIN.2014.6945552, Porto Alegre RS, Brazil, 27-30 July 2014 v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6945552&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6945552

367. [B38] Christian Felix Burckert, Echtzeitentscheidungen durch Trajektoriensimulation in Dual Reality, Masterthesis, Universitat des Saarlandes, Naturwissenschaftlich-Technische Fakultat I, Fachrichtung Informatik, 2014, v. https://www.kriese.at/wp-content/uploads/2015/06/Masterarbeit.pdf

368. [B65, B79] BRILLU, Romain. Efficient design and programming of Multiple Processors System on Chip architectures. 2014. PhD Thesis. UNIVERSITE DE NANTES, v. https://hal.archives-ouvertes.fr/tel-01104791/document, v. http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.705.494&rep=rep1&type=pdf

369. [C54] Frangopol P.T., De vorbă cu Academicianul Tibor Braun, fondatorul revistei internaţionale Scientometrics (1978), Revista de politica stiintei si scientometrie – serie noua, ISSN-L 1582-1218, Vol. 4, No. 1, 2015

370. [B35] M. P. Sarma, Recent Trends in Power-Conscious VLSI Design—A Review, in Recent Trends in Intelligent and Emerging Systems, pp 189-196, Springer India, Print ISBN 978-81-322-2406-8, 2015, v. http://link.springer.com/chapter/10.1007/978-81-322-2407-5_15

72

Page 73: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

371. [B53] Ionescu N. I., Rolul citarilor in evaluarea publicatiilor stiintifice, Revista de politica stiintei si scientometrie – serie noua, ISSN-L 1582-1218, Vol. 4, No. 1, pg. 36-39, martie 2015, v. http://rpss.inoe.ro/articles/rolul-citarilor-in-evaluarea-lucrarilor-stiintifice

372. [B80] Smari W. et al., Recent developments in high-performance computing and simulation: distributed systems, architectures, algorithms, and applications, Concurrency and Computation: Practice and Experience, Volume 27, Issue 9 (June 25), pp. 2191-2195, Print ISSN 1532-0626, John Wiley & Sons, 2015, v. http://onlinelibrary.wiley.com/doi/10.1002/cpe.3523/references

373. [B76] Mohamed, A. H. & Nassar, A. M., New Algorithm for Fault Diagnosis of Photovoltaic Energy Systems, International Journal of Computer Applications (ISSN 0975 – 8887), Volume 114 – No. 9, pp. 26-31, March 2015, v. http://research.ijcaonline.org/volume114/number9/pxc3901959.pdf (IJCA is being indexed with EBSCO, Google Scholar, Informatics, ProQuest CSA Technology Research Database, NASA ADS (Harvard Univ.), CiteSeer, UlrichWeb, ScientificCommons)

374. [B45] Spooner, Max Peter et al, Analysis of data from the MariCare Smartfloor at Skovhuset Care Home, 48 pp., Technical University of Denmark (DTU), July 2015, v. http://orbit.dtu.dk/files/123550059/2016_04_20_Skovhuset_Report.pdf

375. [B70] MY Qadri, NN Qadri, KDMD Maier, Fuzzy Logic based Energy and Throughput aware Design Space Exploration for MPSoCs, Microprocessors and Microsystems, ISSN: 0141-9331, Elsevier, doi:10.1016/j.micpro.2015.08.001, available online 12 August 2015 (February 2016, Pages 113–123)

376. [B81] Messaoudi K. et al, Connection of H.264/AVC hardware IPs using a specific Networks-on-Chip, Microprocessors and Microsystems, ISSN: 0141-9331, Elsevier, Available online 31 August 2015, volume 39, issue 8, 2015, pp. 609 – 620, v. http://scholar.google.com/scholar?oi=bibs&hl=ro&cites=17705726738645532893

377. [B62] Chao Wang, Peng Chen, Xi Li, Xuda Zhou, Xuehai Zhou, Nadia Nedjah, Effective and Efficient Design Space Exploration for Heterogeneous Microprocessor System-on-Chip, Reconfigurable and Adaptive Computing: Theory and Applications, Eds. Nadia Nedjah and Chao Wang, Chapter 1, pages 3-25, CRC Press, USA, December 2015, v. https://books.google.ro/books?hl=ro&lr=&id=CDHSCgAAQBAJ&oi=fnd&pg=PA3&ots=ls96zCGz8-&sig=hjX-fJdC3l3WAWPGk6GZo0njSxk&redir_esc=y#v=onepage&q=gellert&f=false; https://www.crcpress.com/Reconfigurable-and-Adaptive-Computing-Theory-and-Applications/Nedjah-Wang/p/book/9781498731751

378. [B49] Titova T. et al, Non-destructive diagnosis of food products using neural-genetic algorithm, International Journal of Reasoning-based Intelligent Systems, vol. 7, issue 1-2, pp. 55-64, ISSN: 1755-0556, 2015, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=7996727798266989920&scipsc=

379. [B63] C. Jenila, K. Usha Kingsly Devi, A novel approach for assessing the need for referral in automatic diabetic retinopathy detection, International Journal of Applied Engineering Research 10(55):4253-4258,·January 2015, v. https://www.researchgate.net/publication/283096461_A_novel_approach_for_assessing_the_need_for_referral_in_automatic_diabetic_retinopathy_detection

380. [B63] Yash Joshi, Nandish Kotadia, Kapil Patwa, Khushali Deulkar, Relevance Based Sorting of Forum Responses, International Journal of Computational Linguistics Research,

73

Page 74: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

ISSN 0976-416X Volume 6, Number 2, pp.56-59, June 2015, v. http://www.dline.info/jcl/fulltext/v6n2/v6n2_4.pdf

381. [B75] Giovanni Mariani, Gianluca Palermo, Vittorio Zaccaria, Cristina Silvano, DESPERATE++: An Enhanced Design Space Exploration Framework using Predictive Simulation Scheduling, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, ISSN: 0278-0070, vol. 34, iss. 2, pp. 293 – 306, Febr. 2015, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=6983556&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D6983556; http://scholar.google.com/scholar?oi=bibs&hl=ro&cites=7308905325347631998; Scor relativ de influenta (SRI) = 1,206 (in august 2014 – v. http://uefiscdi.gov.ro/userfiles/file/CENAPOSS/Scor_Relativ_Influenta_2014.pdf)

382. [B49] Prajapati, G. L., & Patle, A., Formulation of Feature Selection with Support Vector Machine, International Journal of Computer Applications, 123(5), ISSN 0975 – 8887, pp. 20-27, 2015, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=7996727798266989920&scipsc=

383. [B38] Pecev, Predrag, Miloš Racković, and Miodrag Ivković, A system for deductive prediction and analysis of movement of basketball referees, Multimedia Tools and Applications (2015): pp. 1-28, ISSN 1380-7501, DOI 10.1007/s11042-015-2938-1, 75(23) December 2016, v. http://scholar.google.com/scholar?start=30&hl=ro&as_sdt=0,5&sciodt=0,5&cites=5585456914038214255&scipsc= , http://link.springer.com/article/10.1007/s11042-015-2938-1

384. [B81] Mahbub S., A domain knowledge-based multi-objective evolutionary algorithm for optimizing energy systems, 20th International Conference on Soft Computing, At Brno University of Technology, June 2015, v. https://www.researchgate.net/publication/263490187_A_domain_knowledge-based_multi-objective_evolutionary_algorithm_for_optimizing_energy_systems (public. 2015 R.G.)

385. [B65] Florea A., Cofaru N., Implementing some Evolutionary Computing Methods for Determining the Optimal Parameters in the Turning Process, Applied Mechanics and Materials, vol. 809-810, Trans Tech Publications, Switzerland, 2015, v. https://www.researchgate.net/publication/284710521_Implementing_some_Evolutionary_Computing_Methods_for_Determining_the_Optimal_Parameters_in_the_Turning_Process

386. [B49] C. H. Edgar, et al., Using X2 as a feature selection method to improve the performance of a Contextual Entropy classifier, IEEE International Autumn Meeting on Power, Electronics and Computing (ROPEC). DOI 10.1109/ROPEC.2015.7395127, IEEE, pp. 1-4, 2015, v. http://dep.fie.umich.mx/~lromero/my_papers/2015_ROPEC_Moises.pdf

387. [G23] Olesea Balaşa, Larisa Sadovei, INCURSIUNI ÎN CONCEPTUALIZAREA COMPETENŢEI DE COMUNICARE ȘTIINŢIFICĂ PUBLICĂ, pp. 184-194, in REVISTA de Filosofie, Sociologie şi Ştiinţe Politice, 3 (169), Academia de Stiinte a Moldovei, Chisinau, 2015, v. http://icjp.asm.md/sites/default/files/publicatii/revista_nr_3.pdf (s-a citat articolul meu, intitulat "Scrierea si publicarea stiintifica”, disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf)

388. [B38] Alasdair Thomason, Nathan Griffiths, Victor Sanchez, Parameter Optimisation for Location Extraction and Prediction Applications, 13-th IEEE International Conference on Pervasive Intelligence and Computing, Liverpool, UK, October 2015, v. http://wrap.warwick.ac.uk/72860/2/WRAP_0070653-cs-011015-percom-2015.pdf

74

Page 75: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

389. [G22] *** - Școala doctorală. Programul de pregătire universitară avansată, Universitatea de Construcții București, septembrie 2015, v. http://sd.utcb.ro/_upload/content/docs/835_2_fise_discipline_scoala_doctorala_ro.pdf (pg. 6)

390. [B38] Koehler, C., Indoor Location Prediction Through Modeling of Human Spatiotemporal Behavior (Doctoral dissertation), Carnegie Mellon University, USA, 2015, v. https://kilthub.cmu.edu/articles/Indoor_Location_Prediction_through_Modeling_of_Human_Spatiotemporal_Behavior/7346486

391. [B83] Li Z. et al, Performance analysis for job scheduling in hierarchical HPC systems: A coloured petri nets method, 15-th International Conference on Algorithms and Architectures for Parallel Processing, ICA3PP 2015; Zhangjiajie; China; 18 November 2015 -20 November 2015, Volume 9531, 2015, Pages 259-280, v. https://www.scopus.com/record/display.uri?eid=2-s2.0-84951764168&origin=resultslist&sort=plf-f&cite=2-s2.0-84891126159&src=s&imp=t&sid=173CDD260702332F36FF071584B23048.wsnAw8kcdt7IPYLO0V48gA%3a60&sot=cite&sdt=a&sl=0&relpos=0&citeCnt=0&searchTerm=

392. [B45] Elbayoudi, Abubaker, et al, Modelling and simulation of activities of daily living representing an older adult's behaviour, Proceedings of the 8-th ACM International Conference on PErvasive Technologies Related to Assistive Environments, 8 pages, ISBN: 978-1-4503-3452-5, ACM, July 2015, v. http://dl.acm.org/citation.cfm?id=2769544; https://www.researchgate.net/publication/292140482_Modelling_and_simulation_of_activities_of_daily_living_representing_an_older_adult%27s_behaviour

393. [B62, B65] Zhou, Xuehai, and Nadia Nedjah. "Chao Wang, Peng Chen, Xi Li, Xuda Zhou." Reconfigurable and Adaptive Computing: Theory and Applications (2015): 1, ISBN 9781498731751, CRC Press, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=16447488574160488625&scipsc=

394. [B25] J. Y. Won, DYNAMIC VOLTAGE AND FREQUENCY SCALING TECHNIQUES FOR CHIP MULTIPROCESSOR DESIGNS, PhD Thesis, Texas A&M University, USA, August 2015, v. http://oaktrust.library.tamu.edu/bitstream/handle/1969.1/155587/WON-DISSERTATION-2015.pdf?sequence=1

395. [B93] Ahmed J. Aljaaf et al, A Systematic Comparison and Evaluation of Supervised Machine Learning Classifiers Using Headache Dataset, Advanced Intelligent Computing Theories and Applications, Chapter: Chapter 12, ISBN 978-3-319-22052-9, Publisher: Springer International Publishing, Editors: De-Shuang Huang, Kyungsook Han, pp. 101-108, 2015, v. https://www.researchgate.net/publication/285575793_A_Systematic_Comparison_and_Evaluation_of_Supervised_Machine_Learning_Classifiers_Using_Headache_Dataset

396. [B38] Yuan Yao, Zhang Dalin, Wang Qing and Shi Jinglin, A Multicast Search Scheme Based on Bipartite Graph Matching Model, International Journal of Signal Processing, Image Processing and Pattern Recognition Vol.8, No.11 (2015), pp. 397-416 http://dx.doi.org/10.14257/ijsip.2015.8.11.36, ISSN: 2005-4254 v. http://www.sersc.org/journals/IJSIP/vol8_no11/36.pdf

397. [B56] BEEBE, Nelson H. F., A Complete Bibliography of Scalable Computing: Practice and Experience, University of Utah, Dept. of Mathematics, 2015, v. https://scholar.google.com/scholar?oi=bibs&hl=ro&cites=17069829341998828940&as_sdt=5

75

Page 76: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

398. [B70] Lerm, Rafael Andréas Raffi, A model-driven design-space exploration tool for the HIPAO 2 methodology, 2015, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=7572970312923321778&scipsc=

399. [B69] Umair Tariq, et al., Comparative Analysis of Java and C# Compilers Code Optimization, International Journal of Technology and Research, vol. 3, no. 4, pp. 71-74, ISSN: 2307-4892, 2015, v. http://www.techpublications.org/index.php/IJTNR/article/viewFile/185/94

400. [B65] PARK, Eui Young, An Analytical Model to Approximate Lifetime for Application Specific NoC-based MPSoCs with Slack, PhD Thesis, McGill University, Montreal, Canada, 2015, v. http://electro-junkie.com/docs/yui-park-thesis.pdf

401. [B38, B45] Darine Ameyed, Moeiz Miraoui, Chakib Tadj, A Survey of Prediction Approach in Pervasive Computing, International Journal of Scientific & Engineering Research, Volume 6, Issue 5, May-2015, pp. 306-316, ISSN 2229-5518, v. http://www.ijser.org/researchpaper%5CA-Survey-of-Prediction-Approach-in-Pervasive-Computing.pdf

402. [B25] DiTomaso, D. F., Reactive and Proactive Fault-Tolerant Network-on-Chip Architectures using Machine Learning (Doctoral dissertation, Ohio University), December 2015, v. https://etd.ohiolink.edu/pg_10?0::NO:10:P10_ACCESSION_NUM:ohiou1439478822

403. [B25] JIANXING, WANG, ARCHITECTING EMERGING MEMORY TECHNOLOGIES FOR ENERGY-EFFICIENT COMPUTING IN MODERN PROCESSORS, PhD Thesis, 140 pp., National University of Singapore, Department of Computer Science, 2015, v. http://scholarbank.nus.edu.sg/bitstream/handle/10635/122004/thesis.pdf?sequence=1

404. [B38] Hamadeh, N., Hilal, A., Daya, B., & Chauvet, P., Studying the factors affecting the risk of forest fire occurrence and applying neural networks for prediction, In SAI Intelligent Systems Conference (IntelliSys), London, UK, November 2015 (pp. 522-526). IEEE, DOI: 10.1109/IntelliSys.2015.7361189 v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7361189&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D7361189

405. [E1.9] Jawdat Jamil Alshaer, Mobile Object-Tracking Approach using a Combination of Fuzzy Logic and Neural Networks, Global Journal of Computer Science and Technology: E, Vol. 15, Issue 8, pp. 19-25, USA, December 2015, v. https://globaljournals.org/GJCST_Volume15/4-Mobile-Object-Tracking.pdf

406. [B41] Dai, H.; Yan, C.; Gong, B.; Yang, Z. & Chen, T., Exploring Predictable Redundant Instruction Parallelism in Fault Tolerant Microprocessors, in Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, DOI: 10.1109/HPCC-CSS-ICESS.2015.234, IEEE, pp. 324-329, August 2015, v. http://ieeexplore.ieee.org/xpl/login.jsp?tp=&arnumber=7336183&url=http%3A%2F%2Fieeexplore.ieee.org%2Fxpls%2Fabs_all.jsp%3Farnumber%3D7336183

407. [B70, B84] Malazgirt, Gorker Alp, Deniz Candas, and Arda Yurdakul, Taxim: A Toolchain for Automated and Configurable Simulation for Embedded Multiprocessor Design, 4th International Workshop on High Performance Energy Efficient Embedded Systems (HIP3ES ’16), January 18–20, 2016, Prague, Czech Republic, v. http://arxiv.org/pdf/1601.03341v1.pdf

76

Page 77: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

408. [B38] Oliveira-Lima, Jose A., et al., Load forecast on intelligent buildings based on temporary occupancy monitoring, Energy and Buildings, ISSN: 0378-7788, Available online 22 January 2016, v. http://scholar.google.com/scholar?start=30&hl=ro&as_sdt=0,5&sciodt=0,5&cites=5585456914038214255&scipsc=

409. [B53] Gellert A., Brad R., Context-based prediction filtering of impulse noise images, IET Image Processing, DOI: 10.1049/iet-ipr.2015.0702, ISSN 1751-9659, Online ISSN 1751-9667 Available online: 23 February 2016, v. http://digital-library.theiet.org/content/journals/10.1049/iet-ipr.2015.0702

410. [B38, B45] Hami Aksu, Dwell time forecast and checkout optimisation in supermarkets, PhD Thesis, Charles Sturt University, Australia, December 2016, v. https://researchoutput.csu.edu.au/ws/portalfiles/portal/9319432

411. [B45] Bikakis A. et al, Collaborative Explanation and Response in Assisted Living Environments Enhanced with Humanoid Robots, Proceedings of 8th International Conference on Agents and Artificial Intelligence, ISBN 978-989-758-172-4, February 24 - 26, 2016, v. http://orbilu.uni.lu/bitstream/10993/26417/1/ICAART_2016_138_CR.pdf

412. [B75] Sotiriou-Xanthopoulos, E., Xydis, S., Siozios, K., Economakos, G., & Soudris, D., An Integrated Exploration and Virtual Platform Framework for Many-Accelerator Heterogeneous Systems, ACM Transactions on Embedded Computing Systems (TECS), ISSN:1539-9087, 15(3), 43, March 2016, v. http://dl.acm.org/citation.cfm?id=2866578; https://www.researchgate.net/publication/292918987_An_Integrated_Exploration_and_Virtual_Platform_Framework_for_Many-Accelerator_Heterogeneous_Systems

413. [B75, B77, B81] Matei Oliviu-Dorin, ACHIEVEMENTS AND NEW RESEARCH TRENDS IN EVOLUTIONARY COMPUTATION, Habilitation Thesis, Technical University of Cluj-Napoca, April 2016

414. [B35] Lind, T. Evaluation of instruction prefetch methods for Coresonic DSP processor, Master of Science Thesis in Electrical Engineering Department of Electrical Engineering, Linköping University, 2016, v. http://www.diva-portal.org/smash/get/diva2:935885/FULLTEXT01.pdf

415. [B51] Sergienko, Roman B., et al., Topic Categorization Based on Collectives of Term Weighting Methods for Natural Language Call Routing, Journal of Siberian Federal University. Mathematics & Physics, 9(2), 235–245, 2016, v. http://elib.krasu.ru/bitstream/2311/20248/1/sergienko.pdf

416. [B63] Huang, Huajuan, Xiuxi Wei, and Yongquan Zhou, A sparse method for least squares twin support vector regression, Neurocomputing, Elsevier, ISSN: 0925-2312, 2016, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=1375336762308787676&scipsc=; Q1 Clarivate Analytics journal (JCR 2017)

417. [B49] GUPTA AKANKSHA, Non-Probabilistic K-Nearest Neighbor for Automatic News Classification Model with K-Means Clustering, International Journal of Advance Research, Ideas and Innovations in Technology, vol.2, iss.4, ISSN: 2454-132X, 2016, v. https://www.ijariit.com/manuscripts/v2i4/V2I4-1139.pdf

418. [B51] Sergienko R., Text Classification for Spoken Dialogue Systems, PhD Thesis, Ulm University, Germany, 2016 v. https://oparu.uni-ulm.de/xmlui/bitstream/handle/123456789/4058/DissSergienko.pdf?sequence=5

77

Page 78: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

419. [B36] GUESSOUM, Djamel; MIRAOUI, Moeiz; TADJ, Chakib, Contextual location prediction using spatio-temporal clustering, International Journal of Pervasive Computing and Communications, ISSN: 1742-7371, vol. 12, iss. 3., 2016, v. http://scholar.google.com/scholar?start=20&hl=ro&as_sdt=0,5&sciodt=0,5&cites=6771314260417062613&scipsc=

420. [G23 - articolul meu intitulat "Scrierea si publicarea stiintifica”, disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf, G16] Timuș M., FORMAREA LIMBAJULUI SCRIS LA STUDENŢII FACULTĂŢILOR DE EDUCAŢIE FIZICĂ ŞI SPORT, Teză de doctor în ştiinţe pedagogice, Universitatea de stat de educație fizică și sport a R. Moldova, Chișinău, 2016, v. http://www.cnaa.md/files/theses/2016/23751/maria_timus_thesis.pdf

421. [B70] Ahmad A. et al., Fuzzy logic based adaptive MPSoC for balanced energy and throughput, Kuwait J. Sci. 43 (3) pp. 91-100, ISSN 2307-4108, 2016, v. http://scholar.google.com/scholar_url?url=http://journals.ku.edu.kw/kjs/index.php/KJS/article/download/924/140&hl=ro&sa=X&scisig=AAGBfm2hOV4R8wYONN67UPDU-4M9zWm3vQ&nossl=1&oi=scholaralrt

422. [B45] Antonis Bikakis et al, Proactive Multi-agent Explanation Generation and Evidence Gathering in a Service Robot Inhabited Assisted Living Environment, 8-th International Conference on Agents and Artificial Intelligence, Rome, Italy, ICAART 24-26 February 2016, v. http://www.doc.ic.ac.uk/~klc/icaart16.pdf (cca. 572 citări până la acest punct)

423. [B70] Jooya, Ali, Nikitas Dimopoulos, and Amirali Baniasadi, MultiObjective GPU design space exploration optimization, High Performance Computing & Simulation (HPCS), 2016 International Conference on. IEEE, ISBN 978-1-5090-2089-8, pp. 659-666, Innsbruck, July 2016, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=7572970312923321778&scipsc=

424. [B11] Su, Xuesong, Hui Wu, and Qing Yang, An Efficient WCET-Aware Hybrid Global Branch Prediction Approach, Embedded and Real-Time Computing Systems and Applications (RTCSA), Electronic ISSN: 2325-1301, 2016 IEEE 22-nd International Conference on. IEEE, August 2016, v. http://ieeexplore.ieee.org/abstract/document/7579956/

425. [B45] Zamanifar, Azadeh, Eslam Nazemi, and Mojtaba Vahidi-Asl., DSHMP-IOT: A distributed self healing movement prediction scheme for internet of things applications, Applied Intelligence: 1-21, Springer, 2016, ISSN 0924-669X, DOI: 10.1007/s10489-016-0849-0, v. http://link.springer.com/article/10.1007/s10489-016-0849-0

426. [B38] Huseyin Gunes, Learning, web based, low energy consumption, modular home automation system development, PhD Thesis, Balikesir University Institute of Science, December 2016, v. http://dspace.balikesir.edu.tr:8080/xmlui/bitstream/handle/123456789/3095/H%C3%BCseyin_G%C3%BCne%C5%9F.pdf?sequence=1&isAllowed=y

427. [B51, B63] Kaur, Kamaldeep, and Maninder Kaur, Lexicon Analysis Based Automatic News Classification Approach–A Review, International Journal of Advance Research, Ideas and Innovations in Technology, vol. 2, issue 4, pp.1-5, ISSN: 2454-132X, 2016, v. https://www.ijariit.com/manuscripts/v2i4/V2I4-1175.pdf

428. [B94] Jooya, A., Dimopoulos, N., & Baniasadi, A. (2016, July). MultiObjective GPU design space exploration optimization. In High Performance Computing & Simulation

78

Page 79: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

(HPCS), 2016 International Conference on (pp. 659-666). IEEE., v. http://scholar.google.com/scholar?oi=bibs&hl=ro&cites=5038133817921373316

429. [B11] Ozcan G., DETECTION OF P53 CONSENSUS SEQUENCE: A NOVEL STRING MATCHING WITH CLASSES ALGORITHM, Uludağ University, Journal of The Faculty of Engineering, vol. 21, no. 2, 2016, DOI:10.17482/uumfd.273970, Bursa, Turkey, v. https://www.researchgate.net/publication/311781905_P53_KONSENSUS_SEKANSININ_YAKALANMASI_SINIF_OZELLIKLI_YENI_BIR_SEKANS_ESLESTIRME_ALGORITMASI

430. [B38] Nesi P. et al, Stato dell’arte degli algoritmi di Data Analytic nel dominio esterno di Sii-Mobility, Technical Report, Deliverable ID DE2-7a, Sii-Mobility (Supporto all'interoperabilità integrata per i servizi ai cittadini e alla pubblica amministrazione), University of Florence, Italy, 2016, v. http://www.sii-mobility.org/images/deliverables/Sii-Mobility-DE2-7a-STOA-Data-Analytic-v0-5.pdf

431. [B75] Hitomi, N., & Selva, D. (2016, August). A Hyperheuristic Approach to Leveraging Domain Knowledge in Multi-Objective Evolutionary Algorithms. In ASME 2016 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference (pp. V02BT03A030 - 10 pages). ISBN: 978-0-7918-5011-4, American Society of Mechanical Engineers, v. http://scholar.google.com/scholar?cites=7308905325347631998&as_sdt=2005&sciodt=0,5&hl=ro

432. [B89] Luz Marina Sierra Martínez, Selección de un Algoritmo para la Construcción de un Identificador de Partes del Discurso (Part-Of-Speech Tagging) para nasa yuwe, Universidad del Cauca, Facultad de Ingeniería Electrónica y Telecomunicaciones, Estudiante de Doctorado, 4 de marzo de 2016, v. http://scholar.google.com/scholar?oi=bibs&hl=ro&cites=9921545468393703634,14469834589049425267

433. [A12] Bibliografie la cursul “Computer Architecture and Operating Systems” (Dr. V. Radulescu), “Alexandru Ioan Cuza” University of Iaşi, Faculty of Computer Science, 2016, v. http://profs.info.uaic.ro/xwiki/bin/view/Structure/ComputerArchitectureDescription2016

434. [B49, B63] Kaur, Kamaldeep, and Maninder Kaur, K-Means Clustering Based Lexicon Analytical Model for Multi-Source News Classification, International Journal of Advance Research, Ideas and Innovations in Technology, vol. 2, issue 6, pp.1-5, ISSN: 2454-132X, 2016, v. https://www.ijariit.com/manuscripts/v2i6/V2I6-1179.pdf

435. [B38] Al-Molegi, A., Jabreel, M., & Ghaleb, B., STF-RNN: Space Time Features-based Recurrent Neural Network for Predicting People Next Location, 2016 IEEE Symposium Series on Computational Intelligence (SSCI 2016) December 6-9, 2016, Athens, Greece, v. https://pdfs.semanticscholar.org/5d74/aa402157c0732e70b8c63d7efc0e645406ad.pdf (IEEE)

436. [G23] Dinu V., Săvoiu G., Dabija D. C., A concepe, a redacta și a publica un articol științific, Editura ASE București, 2016, ISBN 978-606-34-0095-7, v. https://www.scribd.com/document/379091403/Cartea-A-Concepe-A-Redacta-Si-a-Publica-Un-Articol-Stiintific-SEPTEMBRIE-2016

437. [B38, B45] Michiel Creve, Design of a framework for the automatic detection of context on the Android platform, MSc Thesis, Gent University, 2016, v. http://lib.ugent.be/fulltxt/RUG01/002/300/610/RUG01-002300610_2016_0001_AC.pdf

438. [B51] A Koromyslova, M Semenkina and R Sergienko - Feature Selection for Natural Language Call Routing Based on Self-Adaptive Genetic Algorithm, V International Workshop

79

Page 80: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

on Mathematical Models and their Applications, 7–9 November 2016, doi:10.1088/1757-899X/173/1/012008, Krasnoyarsk, Russia, v. http://iopscience.iop.org/article/10.1088/1757-899X/173/1/012008/pdf

439. [B43] Kothari, K. N., Morrow, M. W., Dieffenderfer, J. N., McIlvaine, M. S., Stempel, B. M., & Streett, D. E. (2016). Multi level indirect predictor using confidence counter and program counter address filter scheme, U.S. Patent No. 9,477,478. Washington, DC: U.S. Patent and Trademark Office, v. http://www.google.com/patents/US9477478

440. [B36, B38, B45] Ryan Conor, Occupant location prediction in smart buildings using association rule mining, PhD Thesis, National University of Ireland, Cork, April 2016, v. https://cora.ucc.ie/bitstream/handle/10468/2583/Corrected%20Thesis%20as%20Submitted.pdf?sequence=1&isAllowed=y

441. [A12] D. Rotar, Fișa disciplinei “Arhitectura sistemelor de calcul”, Universitatea „Vasile Alecsandri” din Bacău, Facultatea de inginerie, 2016, v. http://www.ub.ro/inginerie/files/facultati/inginerie/ESC/ProgrameStudii/TI-2016-2017/3.2.6_Arhitectura_sistemelor_de_calcul.pdf

442. [G23] Perieanu V., et al, ABOUT SCIENTIFIC MATERIAL IN DENTAL MEDICINE -BETWEEN CONVENIENCE AND CARELESSNESS - PRELIMINARY STUDY, Acta Medica Transilvanica, 21(4), 2016, v. http://www.amtsibiu.ro/Arhiva/2016/Nr4-en/Perieanu.pdf

443. [B36] Guessoum, D., Adaptation dynamique des services dans l'informatique ubiquitaire par utilisation de similarité contextuelle (Doctoral dissertation, École de technologie supérieure, Universite du Quebec), November 2016, v. http://espace.etsmtl.ca/1837/1/GUESSOUM_Djamel_Th%C3%A8se.pdf

444. [B88] Olsen, Daniel M. Performance-aware resource management of multi-threaded applications for many-core systems. Diss. Southern Illinois University at Carbondale, ProQuest Dissertations Publishing, 2016, v. http://scholar.google.com/scholar?oi=bibs&hl=ro&cites=9939342500833705705

445. [B79] Liu, Ming, et al., Automated Scoring of Chinese Engineering Students' English Essays, International Journal of Distance Education Technologies (IJDET) 15.1 (2017): 52-6, ISSN: 1539-3100, v. http://www.bookmetrix.com/detail/chapter/b55ff060-ea98-4f0a-8b17-13868e70925e#citations (screenshot) sau http://scholar.google.com/scholar?start=0&hl=ro&as_sdt=0,5&sciodt=0,5&cites=15002511054772319267&scipsc=, sau indexed Scopus/ACM DL/DBLP/Inspec v. http://www.igi-global.com/journal/international-journal-distance-education-technologies/1078 sau https://www.scopus.com/results/results.uri?numberOfFields=0&src=s&clickedLink=&edit=&editSaveSearch=&origin=searchbasic&authorTab=&affiliationTab=&advancedTab=&scint=1&menu=search&tablin=&searchterm1=International+Journal+of+Distance+Education+Technologies+&field1=SRCTITLE&dateType=Publication_Date_Type&yearFrom=Before+1960&yearTo=Present&loadDate=7&documenttype=All&resetFormLink=&st1=International+Journal+of+Distance+Education+Technologies+&st2=&sot=b&sdt=b&sl=67&s=SRCTITLE%28International+Journal+of+Distance+Education+Technologies+%29&sid=d9588d94dadbdd04e7d1183037d963b3&searchId=d9588d94dadbdd04e7d1183037d963b3&txGid=1a2238d445c96d126d8ed7f0f36d85b1&sort=plf-f&originationType=b&rr=

446. [B51] Sergienko, R., Shan, M., & Schmitt, A., A Comparative Study of Text Preprocessing Techniques for Natural Language Call Routing, in Dialogues with Social Robots (pp. 23-37), Springer Singapore, Volume 999 of the series Lecture Notes in Electrical Engineering, 2017, ISBN 978-981-10-2584-6, v. http://scholar.google.com/scholar?

80

Page 81: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

start=0&hl=ro&as_sdt=0,5&sciodt=0,5&cites=15654975154612890811&scipsc=, v. http://link.springer.com/chapter/10.1007/978-981-10-2585-3_2; Indexat (ISI) TR WoS/Scopus/Springer cf. http://www.springer.com/computer/lncs?SGWID=0-164-6-1068921-0 sau http://apps.webofknowledge.com/Search.do?product=WOS&SID=Q1WND35euQzhBfGZje2&search_mode=GeneralSearch&prID=fd9290a4-9585-4738-b1b3-6a6af1522784 (cca. 600 citări înregistrate până la acest punct)

447. [B38, B45] Kaffash‐Charandabi, N., Alesheikh, A. A., Context inference and prediction modeling in ubiquitous health GIS, Transactions in GIS. DOI: 10.1111/tgis.12263, Online ISSN: 1467-9671, John Wiley & Sons Ltd., 8 February 2017, (TR WoS Journal, IF=2,25 cf. http://apps.webofknowledge.com/Search.do?product=WOS&SID=Q1WND35euQzhBfGZje2&search_mode=GeneralSearch&prID=b757f19e-342c-4721-9ca2-131e0a6c36d8); v. http://onlinelibrary.wiley.com/doi/10.1111/tgis.12263/epdf?r3_referer=wol&tracking_action=preview_click&show_checkout=1&purchase_referrer=onlinelibrary.wiley.com&purchase_site_license=LICENSE_EXPIRED – click “References”

448. [B25, B35] Chantrapornchai, C., Kaegjing, A., Srakaew, S., Piyanuntcharatsr, W., & Krakhaeng, S., Utilizing Architecture Aspects for in Data Mining for Computer System Design, Intelligent Multidimensional Data Clustering and Analysis, ISBN: 9781522517764, pp. 225-252, IGI Global, Copyright: © 2017, v. https://books.google.ro/books?hl=ro&lr=&id=fcadDQAAQBAJ&oi=fnd&pg=PA225&ots=4-u1NXjg0-&sig=sLjjAlj6uWnh7oB2xOhylSLrlyY&redir_esc=y#v=onepage&q&f=false (pg. 251) sau http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=6046314145781972107&scipsc= (pt. B35) sau v. http://www.igi-global.com/book/intelligent-multidimensional-data-clustering-analysis/165238 sau http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=11502825916663794276&scipsc= (pt. B25); IGI Global indexat in TR WoS, Scopus, IEEE, ACM etc., cf. http://www.igi-global.com/about/ (screenshots, Citare_IGI_2017.pdf)

449. [B45] Zamanifar, Azadeh, Eslam Nazemi, and Mojtaba Vahidi-Asl., DMP-IOT: A distributed movement prediction scheme for IOT health-care applications, Computers & Electrical Engineering, ISSN: 0045-7906, Elsevier, 58, issue C, pp. 310-326, February 2017, v. http://dl.acm.org/citation.cfm?id=3097174 (indexed Scopus – v. https://www.elsevier.com/journals/computers-and-electrical-engineering/0045-7906/abstracting-indexing, https://www.scopus.com/results/results.uri?numberOfFields=0&src=s&clickedLink=&edit=&editSaveSearch=&origin=searchbasic&authorTab=&affiliationTab=&advancedTab=&scint=1&menu=search&tablin=&searchterm1=0045-7906&field1=ISSN&dateType=Publication_Date_Type&yearFrom=Before+1960&yearTo=Present&loadDate=7&documenttype=All&resetFormLink=&st1=0045-7906&st2=&sot=b&sdt=b&sl=15&s=ISSN%280045-7906%29&sid=b92b0052d43fd30cd0c32089814f1c99&searchId=b92b0052d43fd30cd0c32089814f1c99&txGid=be318cb8c44bbb920cd8c0c702b7a3bc&sort=plf-f&originationType=b&rr= )

450. [B38] Lam, L. D., Tang, A., & Grundy, J., Predicting Indoor Spatial Movement Using Data Mining and Movement Patterns, The 4-th IEEE International Conference on Big Data and Smart Computing (BigComp 2017), Jeju, Korea, February 13-16, 2017, IEEE CS Press, v. http://www.ict.swin.edu.au/personal/jgrundy/papers/bigcomp2017.pdf (Citare_Lam_2017.pdf, 8 pages); ISI TR WoS – v.

81

Page 82: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

http://apps.webofknowledge.com/Search.do?product=WOS&SID=N2dMr9Q4VaIMCvg9K7w&search_mode=GeneralSearch&prID=5dc785bf-8693-46eb-a6cc-53f2c2391d78

451. [B75] Nozomi Hitomi, Hyunseung Bang, and Daniel Selva, Extracting and Applying Knowledge with Adaptive Knowledge-Driven Optimization to Architect an Earth Observing Satellite System, AIAA Information Systems-AIAA Infotech @ Aerospace, AIAA SciTech Forum, (AIAA 2017-0794), 9-13 January 2017, http://dx.doi.org/10.2514/6.2017-0794, v. https://www.researchgate.net/publication/312254154_Extracting_and_Applying_Knowledge_with_Adaptive_Knowledge-Driven_Optimization_to_Architect_an_Earth_Observing_Satellite_System; ISI TR WoS v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=N2dMr9Q4VaIMCvg9K7w&search_mode=GeneralSearch&prID=05c0408d-cc23-4d0d-9c4d-aabcb94f4b3e

452. [B38] Pecev P., Rackovic M., LTR-MDTS structure - a structure for multiple dependent time series prediction, Computer Science and Information Systems 14(2), pp. 467-490, ISSN: 1820-0214, June 2017, DOI: 10.2298/CSIS150815004P, v. https://www.researchgate.net/publication/314294168_LTR-MDTS_structure_-_a_structure_for_multiple_dependent_time_series_prediction (TR WoS Journal), v. http://www.comsis.org/archive.php?show=ppr561-1507, https://uefiscdi.ro/preview.php?&id=2875&wtok=5ec8aaf01214f0e8f88d1e187a6e004d3f920e3b&wtkps=XY7RCsIwDEX/Jc8Ok3Rds/QfhuAXTKoyFTftcIr473b1QfQt3HvOJa1W+oxqFabxeIrgOxUuhR35qEYhdgHmq1Iob31rapw2rr7gtXYGx9AXJPG+M4fi4cTgfmZJoYOPTUQ5cQohDOfVemkcsrCglbngxH6TBZWURhgFs2Wz1fw5hGn3B+T0/BZ8419v&wchk=0d6146d6f5d026f208bd5ce81ed422b0e847b4e3

453. [B65, B75, B84] Libuschewski, P., Exploration of cyber-physical systems for GPGPU computer vision-based detection of biological viruses (Doctoral dissertation, 277 pp.), Technischen Universität Dortmund, Germany, 2017, v. https://eldorado.tu-dortmund.de/handle/2003/35929

454. [B58] Yuancheng Li, Bin Liu, Survey of implicit thread-level speculation parallel technology for irregular serial programs, Journal of Xi'an Institute of Posts and Telecommunications, Elsevier, Vol. 22, No. 1, ISSN: 1007-3264 (2095-6533), January 2017, Indexed in Scopus, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=17351541045400966042&scipsc= (Citare_Xian_2017.pdf), https://www.scopus.com/results/results.uri?numberOfFields=0&src=s&clickedLink=&edit=&editSaveSearch=&origin=searchbasic&authorTab=&affiliationTab=&advancedTab=&scint=1&menu=search&tablin=&searchterm1=Institute+of+Posts+and+Telecommunications&field1=SRCTITLE&dateType=Publication_Date_Type&yearFrom=Before+1960&yearTo=Present&loadDate=7&documenttype=All&resetFormLink=&st1=Institute+of+Posts+and+Telecommunications&st2=&sot=b&sdt=b&sl=51&s=SRCTITLE%28Institute+of+Posts+and+Telecommunications%29&sid=6a066c5121db7d84e71e158f2a44fec5&searchId=6a066c5121db7d84e71e158f2a44fec5&txGid=eeb016dca2621f12f5b35929005d8f15&sort=plf-f&originationType=b&rr=

455. [B72] Adrian Florea, Teaching the microprocessors systems focused on societal challenges: designing of performant cache replacement algorithms as green information technology (IT) solution, Journal of Digital Information Management, Vol. 15, Number 2, April 2017 (indexat Scopus – v. https://www.scopus.com/results/results.uri?numberOfFields=0&src=s&clickedLink=&edit=&editSaveSearch=&origin=searchbasic&authorTab=&affiliationTab=&advancedTab=&scint=1&menu=search&tablin=&searchterm1=Jou

82

Page 83: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

rnal+of+Digital+Information+Management&field1=SRCTITLE&dateType=Publication_Date_Type&yearFrom=Before+1960&yearTo=Present&loadDate=7&documenttype=All&resetFormLink=&st1=Journal+of+Digital+Information+Management&st2=&sot=b&sdt=b&sl=51&s=SRCTITLE%28Journal+of+Digital+Information+Management%29&sid=2e88295ad84a1c70e33a5528912b13b5&searchId=2e88295ad84a1c70e33a5528912b13b5&txGid=7c13f0bff06cdf3715371829ab3370a4&sort=plf-f&originationType=b&rr= )

456. [B38, B45] Mshali, H. H., Context-aware e-health services in smart spaces (Services e-Santé sensibles au contexte dans les espaces intelligents), Doctoral dissertation, Université de Bordeaux, France, June 2017, v. https://tel.archives-ouvertes.fr/tel-01534273/document

457. [B38, B45] Darine Ameyed, Modélisation et spécification formelle de contexte et sa prédiction dans les systèmes diffus: Une approche basée sur la logique temporelle et le modèle stochastique, PhD Thesis, 211 pp., ÉCOLE DE TECHNOLOGIE SUPÉRIEURE UNIVERSITÉ DU QUÉBEC, MONTRÉAL, LE 8 FÉVRIER 2017, v. http://espace.etsmtl.ca/1867/2/AMEYED_Darine-web.pdf

458. [B65] SAVAS, Süleyman, Utilizing Heterogeneity in Manycore Architectures for Streaming Applications, Licentiate Thesis, Halmstad University Press, ISBN 978-91-87045-60-8 (printed), 2017, (Google Academic), v. http://www.diva-portal.org/smash/get/diva2:1093334/FULLTEXT02.pdf

459. [B49] Liu Yi, Chen Yen, A two-phase sentiment analysis approach for judgement prediction, Journal of Information Science, ISSN: 0165-5515, July 2017, (44.5: 594-607, 2018), v. http://journals.sagepub.com/doi/abs/10.1177/0165551517722741 (cotata ISI TR WoS - 2016 Impact Factor: 1.372, cf. https://us.sagepub.com/en-us/nam/journal/journal-information-science#description; https://us.sagepub.com/en-us/nam/journal/journal-information-science#abstracting---indexing, v. https://uefiscdi.ro/preview.php?&id=2875&wtok=5ec8aaf01214f0e8f88d1e187a6e004d3f920e3b&wtkps=XY7RCsIwDEX/Jc8Ok3Rds/QfhuAXTKoyFTftcIr473b1QfQt3HvOJa1W+oxqFabxeIrgOxUuhR35qEYhdgHmq1Iob31rapw2rr7gtXYGx9AXJPG+M4fi4cTgfmZJoYOPTUQ5cQohDOfVemkcsrCglbngxH6TBZWURhgFs2Wz1fw5hGn3B+T0/BZ8419v&wchk=0d6146d6f5d026f208bd5ce81ed422b0e847b4e3 )

460. [B88] Νικολας, Σχίζας, A Framework For Modelling Computational Sprinting With Phase Change Materials, Thesis, 2017, v. http://scholar.google.com/scholar?oi=bibs&hl=ro&cites=9939342500833705705 (Citare_Grecia_2017.pdf)

461. [B11] Nathan Beckmann, Branch Prediction (Based on slides by Joel Emer, MIT – Eckert Mauchly Award!), 42 slides, Carnegie Mellon University, USA, 27-th April 2017/2018, v. https://www.cs.cmu.edu/afs/cs/academic/class/15740-s17/www/lectures/L19-BranchPrediction.pdf

462. [B53] Thangaraj Veerakumar et al., Context Model based Edge Preservation Filter for Impulse Noise Removal, Expert Systems With Applications, vol. 88, pp. 29-44, December 2017, doi: 10.1016/j.eswa.2017.06.033, ISSN: 0957-4174, Available online 23 June 2017, v. http://ac.els-cdn.com/S0957417417304505/1-s2.0-S0957417417304505-main.pdf?_tid=19daff7e-5a59-11e7-ac8d-00000aacb35f&acdnat=1498472619_951c398867bf6c37b021153699e05852 (cotata TR WoS, IF=3.928 cf. https://www.journals.elsevier.com/expert-systems-with-applications)

463. [B84] Victoria de los Ángeles Caparrós Cabezasm, A DAG-Based Approach to Modeling Bottlenecks on Modern Microarchitectures, Doctor of Sciences of ETH Zurich,

83

Page 84: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

2017, v. http://scholar.google.com/scholar_url?url=https://www.research-collection.ethz.ch/bitstream/handle/20.500.11850/169694/dissertation_victoria_caparros.pdf%3Fsequence%3D1&hl=ro&sa=X&scisig=AAGBfm2moCyO7B8yNUVJiMCU1UZQxWri_A&nossl=1&oi=scholaralrt (146 pp.)

464. [B45] Ahmed, R. I. O., Offline Recognition System for Isolated Arabic Handwritten Characters using Hidden Markov Models, Doctoral dissertation, Sudan University of Science and Technology, 2017, v. http://repository.sustech.edu/bitstream/handle/123456789/18483/Research.pdf?sequence=3&isAllowed=y

465. [B45] Cheh, C., Chen, B., Temple, W. G., & Sanders, W. H., Data-Driven Model-Based Detection of Malicious Insiders via Physical Access Logs, 14-th International Conference on Quantitative Evaluation of Systems (QEST), pp. 275-291, ISSN 0302-9743, Springer, Berlin, Germany, September 2017, v. https://www.perform.illinois.edu/Papers/USAN_papers/17CHE01.pdf (TR WoS, v. http://www.qest.org/qest2017/call-for-papers.html, http://apps.webofknowledge.com/Search.do?product=WOS&SID=Q1WND35euQzhBfGZje2&search_mode=GeneralSearch&prID=c8a54327-8004-4eb4-ab39-63f2155c601b)

466. [B62] Sparsh Mittal, A Survey of Value Prediction Techniques for Leveraging Value Locality, CONCURRENCY AND COMPUTATION: PRACTICE AND EXPERIENCE, ISSN 1532-0626, vol. 29, iss. 21, Nov. 2017; 00:1–33, Published online in Wiley InterScience (www.interscience.wiley.com). DOI: 10.1002/cpe, v. https://www.researchgate.net/profile/Sparsh_Mittal/publication/317870175_A_Survey_of_Value_Prediction_Techniques_for_Leveraging_Value_Locality/links/594f7d480f7e9be7b2e5ae75/A-Survey-of-Value-Prediction-Techniques-for-Leveraging-Value-Locality.pdf (cotata ISI TR WoS)

467. [B78] Yue Ma et al, Research on Mapping for Three-dimensional Network-on-Chip Based on Improved Simulated Annealing Algorithm / 马悦, 宋国治, and 张大坤. "基于改进模拟退火的三维片上网络映射算法研究." 郑州大学学报 (理学版) / Journal of Zhengzhou University, China, Vol. 49, No. 3, pp. 9-13, ISSN 1671-6841, September 2017, v. http://www.xml-data.org/ZZDXXBLXB/html/8b8b08d9-fa0c-4744-ac27-f177acbdc9bd.htm (indexat Copernicus, Mathematical Review etc.)

468. [B75] Bang, H., & Selva, D., Leveraging Logged Intermediate Design Attributes for Improved Knowledge Discovery in Engineering Design. In ASME 2017 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference, ISBN: 978-0-7918-5812-7, American Society of Mechanical Engineers, August 6-9, 2017, v. https://scholar.google.com/scholar?oi=bibs&hl=ro&cites=7308905325347631998 (indexată ISI TR WoS – v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=N2dMr9Q4VaIMCvg9K7w&search_mode=GeneralSearch&prID=c87ea146-3bed-42db-9e02-7afdfa14e429 )

469. [B81] Numan M. W., Mapping of Processing Elements of Hardware-based Production Systems on Networks on Chip, PhD Thesis, The University ofAdelaide, Australia, 2017, v. https://digital.library.adelaide.edu.au/dspace/bitstream/2440/112587/2/02whole.pdf

470. [B38] Karatzoglou, A., Sentürk, H., Jablonski, A., & Beigl, M., Applying Artificial Neural Networks on Two-Layer Semantic Trajectories for Predicting the Next Semantic Location. In International Conference on Artificial Neural Networks (pp. 233-241), LNCS,

84

Page 85: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

volume 10614, ISBN 978-3-319-68611-0, Springer, Cham, 2017, September, v. https://link.springer.com/chapter/10.1007/978-3-319-68612-7_27 (conferinta indexată ISI TR WoS cf. site WoS – v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=N2dMr9Q4VaIMCvg9K7w&search_mode=GeneralSearch&prID=f4355b8e-c791-4318-a74d-7a88c7ab13de )

471. [B94] Jooya, A., Dimopoulos, N., & Baniasadi, A., Optimum Power-Performance GPU Configuration Prediction Based on Code Attributes, In High Performance Computing & Simulation (HPCS), 15th Ann. Meeting 2017 International Conference on (pp. 418-425), ISBN 978-1-5386-3250-5, IEEE, Genoa, Italy, 17-21 July 2017, v. http://ieeexplore.ieee.org/abstract/document/8035108/references?ctx=references (indexată ISI TR WoS – v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=N2dMr9Q4VaIMCvg9K7w&search_mode=GeneralSearch&prID=4646a4cf-2172-4d83-88eb-bafe84aa3008 )

472. [B75] Anderson, G., Nkgau, T., Computer System Design Exploration Using Local Search and Genetic Algorithms. In Applied Computing and Information Technology/3rd Intl Conf. on Computational Science/Intelligence and Applied Informatics/1-st Int’l Conf. on Big Data, Cloud Computing, Data Science & Engineering (ACIT-CSII-BCD), 4-th Int’l Conf. on (pp. 189-195). IEEE, December 2016 (nu s-a raportat în anul 2016, pt. că s-a publicat efectiv în anul 2017.), DOI: 10.1109/ACIT-CSII-BCD.2016.045, v. http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=7308905325347631998&scipsc=; Indexată TR WoS – v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=Q1WND35euQzhBfGZje2&search_mode=GeneralSearch&prID=eeeae35a-9738-4b27-8bea-4e6312f21d98

473. [B38] Adrian Jablonski, Artificial Neural Networks for Semantic Location Prediction, Ubiquitäre Systeme (Seminar) und Mobile Computing (Proseminar) WS 2016/17, Karlsruhe Reports in Informatics 2017,4, Edited by Karlsruhe Institute of Technology, Faculty of Informatics, ISSN 2190-4782, v. https://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=20&cad=rja&uact=8&ved=2ahUKEwj5qK6JuqzgAhUHKuwKHaXRCgs4ChAWMAl6BAgBEAI&url=https%3A%2F%2Fpublikationen.bibliothek.kit.edu%2F1000069123%2F4132086&usg=AOvVaw1xfd8Wk5ZhEEOiHutzDdBq

474. [B70] Jooya, A., Dimopoulos, N., & Baniasadi, A. (2017, July). Optimum Power-Performance GPU Configuration Prediction Based on Code Attributes. In High Performance Computing & Simulation (HPCS), 2017 International Conference on (pp. 418-425). IEEE., v http://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=7572970312923321778&scipsc= , v. http://ieeexplore.ieee.org/abstract/document/8035108/references?ctx=references (indexat TR WoS, v. v. http://apps.webofknowledge.com/Search.do?product=WOS&SID=N2dMr9Q4VaIMCvg9K7w&search_mode=GeneralSearch&prID=4646a4cf-2172-4d83-88eb-bafe84aa3008)

475. [B55] Florea, A., Kifor, C. V., Nicolaescu, S. S., Cocan, N., & Receu, I. (2017), INTELLECTUAL CAPITAL EVALUATION AND EXPLOITATION MODEL BASED ON BIG DATA TECHNOLOGIES, Book of Proceedings, 24th International Scientific Conference on Economic and Social Development (Vol. 15, No. 1, p. 21-30), ISSN 1849-7535, v. http://www.zbw.eu/econis-archiv/bitstream/handle/11159/965/Book_of_Proceedings_esdWarsaw_2017_Online.pdf?sequence=1#page=27; indexată ISI TR WoS – v. http://www.esd-conference.com/publishing; http://apps.webofknowledge.com/Search.do?

85

Page 86: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

product=WOS&SID=Q1WND35euQzhBfGZje2&search_mode=GeneralSearch&prID=23380054-2732-4007-bf9b-e9316306eb13

476. [B38] Al-Molegi A., et al, Geo-Temporal Recurrent Model for Location Prediction, 20-th International Conference of the Catalan Association for Artificial Intelligence, DOI 10.3233/978-1-61499-806-8-126, Deltebre, Catalonia, Spain, October 2017, v. https://www.researchgate.net/publication/320323760_Geo-Temporal_Recurrent_Model_for_Location_Prediction?tab=references&citedPublicationKey=PB%3A228761485&citationKey=CIT%3A3283705373 (indexată WoS, v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=E3vCyykQUvBTauUlgjW&search_mode=GeneralSearch&prID=ad39fe06-908e-462b-86b1-2ecb830b7a31)

477. [B38] Li Li, Frequent Episode Mining for Smart Home Wireless Sensor Network, PhD Thesis (132 pp.), DISS. ETH NO. 23271, ETH Zurich, 2016 (nu s-a raportat în anul 2016, pt. că s-a publicat efectiv în anul 2017.), v. http://e-collection.library.ethz.ch/eserv/eth:49619/eth-49619-02.pdf

478. [B45] Carmichael P., Morisset C., Learning Decision Trees from Synthetic Data Models for Human Security Behaviour, In International Conference on Software Engineering and Formal Methods (pp. 56-71), LNCS, volume 10729, ISBN 978-3-319-74780-4, Springer, Cham, September 2017, v. https://link.springer.com/chapter/10.1007/978-3-319-74781-1_5 (indexată WoS - v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=F1EEoTY8AaQ2RFFVTQd&search_mode=GeneralSearch&prID=280b3fdb-4353-4f1c-826c-ad09f454d6c3)

479. [B65] Sapra, D., & Altmeyer, S., Work-in-Progress: Design-Space Exploration of Multi-Core Processors for Safety-Critical Real-Time Systems. In 2017 IEEE Real-Time Systems Symposium (RTSS), pp. 360-362, IEEE, ISSN: 2576-3172, doi:10.1109/RTSS.2017.00040, Paris, France, December 2017, v. https://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=6913671337666595984&scipsc= (indexat WoS, v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=E6ZVHrH75yzkVLeQSRX&search_mode=GeneralSearch&prID=dbd9e0f8-2493-499a-a588-63dd574f71f7) – v. Citare_Sapra_2018.pdf.

480. [B53] Brad R., Advances in Spatio-Temporal Image Processing with Scientific Applications, 166 pp., ISBN 978-606-12-1519-5, “Lucian Blaga” University of Sibiu Publishing House, 2017

481. [B36] Garg, N., Lather, J. S., & Dhurandher, S. K. (2016, August). Studying daily behavior patterns using fuzzy networks. In Information Processing (IICIP), 2016, 1-st India International Conference on (pp. 1-6). IEEE, (nu s-a raportat în anul 2016, pt. că s-a publicat efectiv în anul 2017.), v. http://scholar.google.com/scholar?start=20&hl=ro&as_sdt=0,5&sciodt=0,5&cites=6771314260417062613&scipsc= . Pana aici s-a verificat apartenenta BDI.

482. [B62] Sideris, Isidoros, Daren Croxford, and Andrew Burdass. "Power saving by reusing results of identical micro-operations." U.S. Patent No. 9,817,466. 14 Nov. 2017, v. https://www.google.com/patents/US9817466

86

Page 87: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

483. [B38] Alaaeddine, R., & Wu, S., Application of supervised learning methods to better predict building energy performance, The First International Conference on Sustainable Futures 2017, 26th-27th November 2017, Sitra, Bahrain, v. http://eprints.hud.ac.uk/id/eprint/34107/1/Application_of_supervised_learning_methods_to_better_predict_building_energy_performance_1%20%285%29.pdf (Google Scholar)

484. [B12, C57] Zach Carmichael & Nate Lindberg, The Impact of Machine Learning on Branch Prediction Performance, Computer Architecture Project Presentation (EECC 551), Rochester Institute of Technology, 2017, v. http://meseec.ce.rit.edu/551-projects/fall2017/1-3.pdf

485. [B52] Tafish, M. H., Breast Cancer Severity Degree Predication Using Data Mining Techniques in the Gaza Strip, MSc Dissertation (69 pp.), The Islamic University–Gaza, December 2017, v. http://library.iugaza.edu.ps/thesis/123538.pdf

486. [C bis13] Antonio Sandu, Scrierea articolelor stiintifice si etica in publicare, 8th Lumen International Scientific Conference, Suceava, Editura Lumen, April 6th – 9th 2017, v. http://lumen.international/wp-content/uploads/2017/05/Brosura_Scientific-Writing_Antonio-SANDU.pdf

487. [B46] Nik Ahmad Akram Zulkepeli, Real-time unsupervised incremental support vector machine for oil and gas pipeline NDT system, PhD Thesis, University of Nottingham, UK, February 2016, v. http://eprints.nottingham.ac.uk/31204/1/PhD%202016%20Nik%20Ahmad%20Akram.pdf (nu s-a raportat în anul 2016, pt. că s-a publicat efectiv în anul 2017 in Google Scholar)

488. [B11] Moritz Lipp, et al, Meltdown, arXiv preprint arXiv:1801.01207, January 2018, available online at https://meltdownattack.com/meltdown.pdf. Republicat sub titlul: Meltdown: Reading Kernel Memory from User Space, 27-th USENIX Security Symposium (USENIX Security 18), Baltimore, USA, August 15-17 2018, v. http://ts.data61.csiro.au/publications/csiro_full_text/Lipp_SGPHFHMKGYH_18.pdf (conferinta indexata WoS – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=C1nCKEnZzqLqqsfyvgX&search_mode=GeneralSearch&prID=c39e60e8-0749-4ce4-b890-e201945b7667). The authors cited my IJCNN 1999 paper that introduces the fertile idea of neural branch prediction. They wrote that “More recently, ideas to use neural branch prediction [34, (– my paper!) 18, 32] have been picked up and integrated into CPU architectures [3].” In their Spectre paper, available online at https://spectreattack.com/spectre.pdf, the authors wrote that neural branch predictors involve „even more complex speculative behavior.” It is already well-known that the authors of these two papers and, independently, some Google researchers (see https://googleprojectzero.blogspot.ro/2018/01/reading-privileged-memory-with-side.html) have discovered some commercial processors bugs related to the subtle interaction between out of order and speculative instructions execution, caches and virtual memory protection mechanism.

489. [B52] Chandra M., Bedi S., Survey on SVM and their application in image classification, International Journal of Information Technology, ISSN: 2511-2104, DOI 10.1007/s41870-017-0080-1, Springer, January 11 2018, v. https://www.researchgate.net/publication/322410797_Survey_on_SVM_and_their_application_in_image_classification, https://link.springer.com/article/10.1007/s41870-017-0080-1 (cca. 650 citări înregistrate până la acest punct)

87

Page 88: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

490. [C50, B65] Arsalan Shahid, Muhammad Yasir Qadri, Martin Fleury, Hira Waris, Ayaz Ahmad, and Nadia N. Qadri, AC-DSE: Approximate Computing for the Design Space Exploration of Reconfigurable MPSoCs, Journal of Circuits, Systems and Computers, World Scientic Publishing Company, ISSN: 0218-1266, 27(9), Published: 5 January 2018, v. https://arsalanshahid.info/wp-content/uploads/2018/06/AC-DSE-World-Scientific.pdf, v. http://www.worldscientific.com/doi/abs/10.1142/S0218126618501451, v. https://scholar.google.com/scholar?oi=bibs&hl=ro&cites=20912208250121676, https://scholar.google.com/scholar?start=10&hl=ro&as_sdt=0,5&sciodt=0,5&cites=6913671337666595984&scipsc= (cotată WoS – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=F6aQH94AEtnYhAKjpZS&search_mode=GeneralSearch&prID=88e82a34-aea8-4ed8-ad1d-25db9f047904, Scopus); V. Citare Shahid 2018.pdf

491. [B75] Hitomi, N., & Selva, D., Incorporating Expert Knowledge Into Evolutionary Algorithms with Operators and Constraints to Design Satellite Systems, Applied Soft Computing, vol. 66, pp. 330-345, https://doi.org/10.1016/j.asoc.2018.02.017, ISSN: 1568-4946, May 2018, v. https://www.researchgate.net/publication/323386792_Incorporating_Expert_Knowledge_Into_Evolutionary_Algorithms_with_Operators_and_Constraints_to_Design_Satellite_Systems (revista cotata WoS, Q1)

492. [B65] Murtza S. A. et al, Optimizing energy and throughput for MPSoCs: an integer particle swarm optimization approach, Computing, ISSN: 0010-485X, Springer, 100(3), 227-244, 2018, v. https://www.researchgate.net/publication/319274343_Optimizing_energy_and_throughput_for_MPSoCs_an_integer_particle_swarm_optimization_approach, https://link.springer.com/article/10.1007/s00607-017-0574-5 (cotata ISI TR WoS, IF=1,589)

493. [B89] Yuwana, R. S., Yuliani, A. R., & Pardede, H. F., On part of speech tagger for Indonesian language. In Information Technology, Information Systems and Electrical Engineering (ICITISEE), 2017 2-nd International conferences on (pp. 369-372), DOI: 10.1109/ICITISEE.2017.8285530, IEEE, Yogyakarta, Indonesia, November 2017 (nu s-a raportat în anul 2017, pt. că s-a publicat efectiv în anul 2018.), v. http://ieeexplore.ieee.org/abstract/document/8285530/references

494. [B71] Khan, S., Anjum, S., Gulzari, U. A., Afzal, M. K., Umer, T., & Ishmanov, F., An Efficient Algorithm for Mapping Real Time Embedded Applications on NoC Architecture, IEEE Access, vol. 6, DOI: 10.1109/ACCESS.2018.2811716, Electronic ISSN: 2169-3536, March 2018, v. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=8306371 (revista cotata WoS - http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=C5tVnS3ULMlq2KnAyE8&search_mode=GeneralSearch&prID=fb6f6fb5-3346-4716-a952-632e610fc5c1)

495. [B38, B45] Zaguia, A., Ameyed, D., Guessoum, D., Mahfoudhi, A., & Alrobaea, R., Mobility Prediction in Pervasive Context-Awareness System, International Journal of Applied Engineering Research, 13(9), pp. 6594-6607, ISSN 0973-4562, 2018, v. http://www.ripublication.com/ijaer18/ijaerv13n9_13.pdf (SCOPUS(2010-2017), EBSCOhost, GOOGLE Scholar, JournalSeek, J-Gate, ICI, Index Copernicus)

496. [B71] Khan, S., Anjum, S., Gulzari, U. A., Umer, T., & Kim, B. S., Bandwidth-Constrained Multi-Objective Segmented Brute-Force Algorithm for Efficient Mapping of Embedded Applications on NoC Architecture, IEEE Access, vol. 6, pp. 11242-11254, ISSN: 2169-3536, 2018, v. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=8123912

88

Page 89: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

(cotată WoS, Q1 – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=D1l5paQdhYdTeKobkB6&search_mode=GeneralSearch&prID=0983122d-4fa4-4c07-899d-39ce30deb643)

497. [B38, B45] Mshali, H., Lemlouma, T., Moloney, M., & Magoni, D. A survey on health monitoring systems for health smart homes. International Journal of Industrial Ergonomics, 66, pp. 26-56, DOI 10.1016/j.ergon.2018.02.002, Elsevier, ISSN: 0169-8141, 2018, v. https://www.researchgate.net/publication/323392012_A_Survey_on_Health_Monitoring_Systems_for_Health_Smart_Homes/references?_cpd=eyJjcGsiOiJQQjoyMjgzNzQ1MDkiLCJjayI6IkNJVDozMjg2NTUzMTYzIn0&pli=1&loginT=hAJStNnCBqIdiZzaygNAah0JY3h-9SXBsvWlBwu26TRWG2KqeljYH40MAdlak7unsuVB5yiSYbIrLDd5PIw_Bt0&uid=EJoz1Ht1DkVKCshQt1vQHHKa2xAGV0j7GCC1&cp=re413_p_d_y_p110&ch=reg&utm_medium=email&utm_source=researchgate&utm_campaign=re413&utm_term=re413_p_d_y&utm_content=re413_p_d_y_p110; (revista cotata WoS)

498. [B62] Sideris, I., Croxford, D., & Burdass, A. (2018), Reuse of results of back-to-back micro-operations, U.S. Patent No. 9,933,841. Washington, DC: U.S. Patent and Trademark Office, v. https://patents.google.com/patent/US9933841B2/en, https://patentimages.storage.googleapis.com/fd/b2/ec/88752f5092d4b8/US9933841.pdf

499. [B11] Dezső Sima, Samsung's mobile lines, Presentation, vers.1.2 (242 slides), May 2018, available at http://users.nik.uni-obuda.hu/sima/letoltes/Processor_families_Knowledge_Base_2019/Samsung%27s%20mobile%20lines_2018_06_12.pdf (pp. 91, 235)

500. [B47] Rehman, Zubaida, Survey-Branch Prediction Techniques, Research Journal of Innovative Ideas and Thoughts 5(2):47-58, ISSN 2312-1033, Publisher RJIIT, December 31 2017, v. https://rjiit.com/wp-content/uploads/2018/03/Volume-V-Iseue-II-Paper-IV.pdf (nu s-a raportat în anul 2017, pt. că s-a publicat efectiv în anul 2018.)

501. [B38] Al-Molegi, A., Martínez-Ballesté, A., & Alsmadi, I., Regions-of-interest discovering and predicting in smartphone environments, Pervasive and Mobile Computing, vol. 47, ISSN: 1574-1192, July 2018, v. https://www.sciencedirect.com/science/article/pii/S1574119217303632 (revistă cotată Clarivate)

502. [B38] Al-Molegi, A., Martínez-Ballesté, A., & Jabreel, M., Move, Attend and Predict: An Attention-based Neural Model for People’s Movement Prediction, Pattern Recognition Letters, Elsevier, available online 2018, vol. 112, pp. 34-40, September 2018, v. https://www.sciencedirect.com/science/article/pii/S016786551830182X (revistă cotată Clarivate - Q2)

503. [B88] Anuj Pathania, Scalable Task Schedulers for Many-Core Architectures, PhD Thesis, Advisor: Prof. J. Henkel, Karlsruher Institut fur Technologie, DOI: 10.5445/IR/1000082991, May 2018, v. https://www.researchgate.net/publication/331398017_Scalable_Task_Schedulers_for_Many-Core_Architectures#pag:126:mrect:(444.62,239.72,22.29,9.63)

504. [B11] Michaud, P., An Alternative TAGE-like Conditional Branch Predictor, ACM Transactions on Architecture and Code Optimization, vol. 15, issue 3, ISSN: 1544-3566, 2018, v. https://hal.inria.fr/hal-01799442/document sau https://dl.acm.org/citation.cfm?id=3226098 (revistă cotată Clarivate - Q2)

89

Page 90: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

505. [B63] Singh, D., & Malhotra, S., Intra News Category Classification using N-gram TF-IDF Features and Decision Tree Classifier, ISSN [ONLINE]: 2395-1052, International Journal for Science and Advance Research in Technology, Volume 4, Issue 3, pp. 508-514, MARCH 2018, v. http://ijsart.com/Content/PDFDocuments/IJSARTV4I321320636568159886962656.pdf (GScholar)

506. [B38] Zaguia, A., A Survey of mobility Prediction in ubiquitous Computing, International Journal of Computer Science & Engineering Technology, ISSN : 2229-3345, Vol. 9 No. 02 Mar-Apr 2018, v. http://www.ijcset.com/docs/IJCSET18-09-04-018.pdf (Index Copernicus)

507. [B45] Elbayoudi, A. M., Trend analysis for human activities recognition, Doctoral dissertation (154 pp.), Nottingham Trent University, School of Science and Technology, January 2018, v. http://irep.ntu.ac.uk/id/eprint/33844/1/Abubaker_Elbayoudi_2018.pdf

508. [B75] Nozomi Hitomi, Hyunseung Bang, Daniel Selva, Adaptive Knowledge-Driven Optimization for Architecting a Distributed Satellite System, Journal of Aerospace Information Systems, ISSN 1940-3151, DOI: 10.2514/1.I010595, Vol. 15, No. 8, June 2018, v. https://www.researchgate.net/publication/325552436_Adaptive_Knowledge-Driven_Optimization_for_Architecting_a_Distributed_Satellite_System/references (revista cotata WoS Q2, v. https://uefiscdi.ro/resource-86979?&wtok=03042fa52e03c692ec885f913d182075f625f8fe&wtkps=XY7RDoIwDEX/Zc+K7cZglH8wJn4BMiAVEMNAFOO/O/DB6NvN7TltM4ro6UiTmIa6cSJlwhi0SkCmjhQJx1YsKSYRns1YMiYZAudaPdq6zrrablVU3RVvm2Ge7WmBkQSLj46Ia+N1a6+Xw3GnYpBGGtBmGUjPfpsNhmgUSDCwWnq19n8Ogt/7A0r/frFe9Knt7NgUQddXwViU7HLLwY2LKcj6gfOuEenrDQ==&wchk=a2df008c0583b9a45a64746a1bbf5babe201c4dc)

509. [B38] Tax N., Human Activity Prediction in Smart Home Environments with LSTM Neural Networks, The 14-th International Conference on Intelligent Environments (IE'18), Rome, Italy, June 25-28 2018, v. https://www.researchgate.net/publication/326344950_Human_Activity_Prediction_in_Smart_Home_Environments_with_LSTM_Neural_Networks (conferinta indexata WoS - http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=D4j2N2SBF2TL7ZQ9XnP&search_mode=GeneralSearch&prID=c6644fc9-bf65-416a-be9d-f224b2742faf)

510. [B89] Yatsko V., Another Tagger, DOI: 10.13140/RG.2.2.28859.52007, Preprint,·Katanov State University of Khakasia, Abakan, Russia, July 2018, v. https://www.researchgate.net/publication/326404584_Another_tagger?_sg%5B0%5D=i-t3RqzjTNwQy_1vA1rm-WxcCfcqoSMbAzCFIX3BGKYJ2aPj0HHJg9Fil0oEqGyyN9gBb0sjxDpPM-w.tGGQbSdUaJOWAAQTqnG0ryEhjaU9oIrvJz0MtqpSbIsZGtfOw6LkI-CfOeQBTGTHeqdA1gYfX5ht_bf0P04spQ&_sg%5B1%5D=M0gf0EWb7iIoiFM9yOI5UdzZ1YLyrrivdOKVW0RQToU-4NBF1yu0zRdo1lfswtDrnUVvuF2i0jiNQi2ExGKzGAjKYuA.tGGQbSdUaJOWAAQTqnG0ryEhjaU9oIrvJz0MtqpSbIsZGtfOw6LkI-CfOeQBTGTHeqdA1gYfX5ht_bf0P04spQ (Russian Version available at http://in-sc.ru/d/1942991/d/vypusk_1014.pdf, Integratsia Nauk journal, no. 10 (14), ISSN 2500-2449, 2017, pp. 78-83)

90

Page 91: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

511. [B90] Jansen, M., Thermal models for the exploration of embedded system architectures, 62 pp., Bachelor Informatica (Supervisor Dr. Andy Pimentel), University of Amsterdam, June 7 2018, v. https://esc.fnwi.uva.nl/thesis/centraal/files/f220032389.pdf (Google Academic)

512. [B74] García-Cuesta, E., & Gómez-Pérez, J. M., Indexing Execution Patterns in Workflow Provenance Graphs through Generalized Trie Structures, arXiv preprint arXiv:1807.07346, 2018, v. https://arxiv.org/pdf/1807.07346.pdf (WoS?)

513. [B38] Kazakov Danil Igorevitch, On recommending task tags in online project management service (in Russian language), Technical Report, Sankt Petersburg University, 2017, v. https://dspace.spbu.ru/bitstream/11701/10726/1/FQW.pdf (nu s-a raportat în anul 2017, pt. că s-a publicat efectiv în anul 2018.)

514. [B71] Khan, S., Anjum, S., Gulzari, U. A., Ishmanov, F., Palesi, M., & Afzal, M. K., An optimized hybrid algorithm in term of energy and performance for mapping real time workloads on 2d based on-chip networks, Applied Intelligence, pp. 1-13, ISSN: 0924-669X, First Online: 30 July 2018, v. https://link.springer.com/article/10.1007/s10489-018-1246-7 (revistă cotată WoS, IF=1.983 cf. https://link.springer.com/journal/10489 )

515. [B45, E1.9] K. Oztoprak, Mobile Subscriber Profiling and Personal Service Generation using Location Awareness, Advances in Electrical and Computer Engineering 18(3):105-112, ISSN: 1582-7445, DOI: 10.4316/AECE.2018.03014, January 2018 (revistă cotată WoS, v. http://www.aece.ro/)

516. [B96] Cheng, Y., Zhao, S., Cheng, B., Hou, S., Shi, Y., & Chen, J., Modeling and Optimization for Collaborative Business Process Towards IoT Applications, Mobile Information Systems, ISSN: 1574-017X, Published 12 September 2018 (v. https://www.hindawi.com/journals/misy/aip/9174568/, https://www.hindawi.com/journals/misy/aip/), v. https://scholar.google.com/scholar?oi=bibs&hl=ro&cites=17875923396319682995 (revistă cotată WoS, v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=D4If9B4JXDdZQp7QdkF&search_mode=GeneralSearch&prID=cf6bea33-3de2-43eb-bdce-d91675fe2d21)

517. [B88] Anuj Pathania, Jörg Henkel, HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems, IEEE Embedded Systems Letters, DOI 10.1109/LES.2018.2866594, 22 August 2018, v. https://ieeexplore.ieee.org/abstract/document/8444047/ (revistă cotată WoS – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=F1gEAv2lb22vAytAmg8&search_mode=GeneralSearch&prID=937b3500-c19c-44e7-a6ca-0ae51284a394)

518. [B11, B24] Bhattacharjee A., Using Branch Predictors to Predict Brain Activity in Brain-Machine Implants, Proceedings of MICRO-50, Cambridge, MA, USA, October 14–18, 2017, v. http://www.cs.yale.edu/homes/abhishek/abhishek-micro17.pdf (conferință indexată WoS). Nu s-a raportat în anul 2017, deoarece subsemnatul a aflat de această lucrare abia în anul 2018. În versiunea corectată de autor, acesta precizează: “This manuscript matches the copy in the ACM digital library, except for the addition of references [69, 70; n.m. articole Vințan], which were mistakenly omitted from the original version of the paper.” – v. http://www.cs.yale.edu/homes/abhishek/abhishek-micro17.pdf.

519. [B38] Garcia-Luna-Aceves, J. J., He, D., & Westphal, C., Network Support for AR/VR and Immersive Video Application: A Survey, Proceedings of the 15-th International Joint

91

Page 92: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Conference on e-Business and Telecommunications, ICETE 2018, 1, 2018, v. https://cloudfront.escholarship.org/dist/prd/content/qt8zm6t24f/qt8zm6t24f.pdf?t=pek64p (conferință indexată WoS – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=D52Nc6qZEkk8VniYA48&search_mode=GeneralSearch&prID=276fb5ab-f4a9-4ea0-8db3-b5ace86b8c36)

520. [B89] Rabbi, R. A., Khan, M., Amin, W., Shaown, M., & Alam, M., Product rating generation based on public opinion using sentiment analysis, Bachelor of Computer Science and Engineering Thesis (Supervisor: Dr. Jia Uddin), BRAC University, Dhaka, Bangladesh, December 2017, v. http://dspace.bracu.ac.bd/xmlui/bitstream/handle/10361/9474/13301078,13301034,13301133,13301075_CSE.pdf?sequence=1 (S-a publicat la 15.09.2018)

521. [B75] Hitomi, N., Multiobjective optimization for space systems architecture: applying and extracting knowledge (Doctoral Dissertation, Cornell University), 201 pages, Published by ProQuest LLC (10808705), Ann Arbor, USA, May 2018, v. https://www.researchgate.net/profile/Nozomi_Hitomi/publication/328352009_MULTIOBJECTIVE_OPTIMIZATION_FOR_SPACE_SYSTEMS_ARCHITECTURE_APPLYING_AND_EXTRACTING_KNOWLEDGE/links/5bc7d96592851cae21ad7880/MULTIOBJECTIVE-OPTIMIZATION-FOR-SPACE-SYSTEMS-ARCHITECTURE-APPLYING-AND-EXTRACTING-KNOWLEDGE.pdf

522. [B11] Vorbach M., Advanced Processor Architecture, Patent Application Publication, Pub. No. US 2018/0004530 A1, January 4 2018, see http://www.freepatentsonline.com/20180004530.pdf

523. [B91] Kofler, K., Analysis and Optimization of Parallel Programs under the Insieme Compiler and Runtime System, Doctoral dissertation, 162 pages, University of Innsbruck, February 16 2017 (publicata in anul 2018), v. http://www.insieme-compiler.org/pub/phd_thesis_kofler.pdf

524. [B38] Abdulrahman Al-Molegi, Antoni Martínez Ballesté, The Effect of Space-Time Representation Learning in Predicting People’s Next Location, 21st International Conference of the Catalan Association for Artificial Intelligence, Roses, Catalonia, Spain, October 2018, v. https://www.researchgate.net/publication/326010821_The_Effect_of_Space-Time_Representation_Learning_in_Predicting_People's_Next_Location (Conferinta indexata WoS - http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=C3Cg1aagTjjJg648a3e&search_mode=GeneralSearch&prID=6a3d6ecb-1382-4650-84ed-53007d966c65)

525. [B38] Immanuel König, An algorithmic approach to increase the context prediction accuracy by utilizing multiple context sources (Doctoral dissertation), Universität Kassel, 2017, v. https://kobra.uni-kassel.de/handle/123456789/2017090653452 (S-a publicat la 17.11.2018.)

526. [B35] Ababei C., Moghaddam M., A Survey of Prediction and Classification Techniques in Multicore Processor Systems, October 2018, IEEE Transactions on Parallel and Distributed Systems PP(99):1-1, ISSN: 1045-9219 DOI: 10.1109/TPDS.2018.2878699, 30 October 2018, IF=3.971, v. https://www.researchgate.net/publication/328618386_A_Survey_of_Prediction_and_Classification_Techniques_in_Multicore_Processor_Systems/references, https://ieeexplore.ieee.org/document/8514815; Q1 Clarivate Analytics journal (JCR 2017)

92

Page 93: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

527. [B51, B63] Nikita Sawhney, B. Kaur, H. Kaurm, Probabilistic Support Vector Regression Classification Model for Credit Card Fraud Detection, International Journal of Computer Sciences and Engineering, E-ISSN: 2347-2693, Volume-6 , Issue-9, Pages no. 840-843, Sep-2018, CrossRef-DOI: https://doi.org/10.26438/ijcse/v6i9.840843, v. http://www.ijcseonline.org/pdf_paper_view.php?paper_id=2953&141-IJCSE-04915.pdf

528. [B45] Sina Shafaei, Fabian Müller, Tim Salzmann, Morteza Hashemi Farzaneh, Stefan Kugele, Alois Knoll, Context Prediction Architectures in Next Generation of Intelligent Cars, 21-st IEEE International Conference on Intelligent Transportation Systems, Maui, Hawaii, USA, November 2018, v. https://www.researchgate.net/publication/326112075_Context_Prediction_Architectures_in_Next_Generation_of_Intelligent_Cars (conferinta indexata WoS)

529. [B45] Hengfei Fan, Wenbin Yao, A Trajectory Prediction Method with Sparsity Data, 15th IEEE International Symposium on Parallel and Distributed Processing with Applications and 16th IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), Guangzhou, China, December 2017, v. https://www.researchgate.net/publication/325423936_A_Trajectory_Prediction_Method_with_Sparsity_Data (conferinta indexata WoS - http://apps.webofknowledge.com/Search.do?product=WOS&SID=F6Yb1xzxlpbVZJk73Ic&search_mode=GeneralSearch&prID=7222d28b-80a9-4a61-90e6-ea82bd782f86); S-a publicat la 04.12.2018.

530. [B52] Mosab B. Nazli, Automated Fraud Detection Model for Electricity Consumption, MSc Thesis, The Islamic University of Gaza, Palestine, 2018, v. https://library.iugaza.edu.ps/thesis/125098.pdf

531. [G8] Sandu Ștefan Antonio, Elemente etice implicate de propunerea de cercetare, Universitatea “Ştefan cel Mare” din Suceava, Cod proiect: CNFIS-FDI-2018-0545, 76 pagini, 2018, v. http://www.eiaecsad.usv.ro/manuale/Elemente-etice.pdf

532. [B81] Bougherara, M., Nedjah, N., Mourelle, L. D. M., Rahmoun, R., Sadok, A., & Bennouar, D., IP assignment for efficient NoC-based system design using multi-objective particle swarm optimisation, International Journal of Bio-Inspired Computation, ISSN: 1758-0366, 12(4), 203-213, 2018, v. https://www.inderscienceonline.com/doi/abs/10.1504/IJBIC.2018.096483 (revista cotata WoS, zona Q1 cf. JCR 2017)

533. [B91] Thibault, S., On Runtime Systems for Task-based Programming on Heterogeneous Platforms, Doctoral dissertation, Université de Bordeaux, December 2018, v. https://hal.inria.fr/tel-01959127/document; (cca. 700 de citări înregistrate până la acest punct)

534. [B52] Tafish, M. H., & El-Halees, A. M., Breast Cancer Severity Degree Predication Using Data Mining Techniques in the Gaza Strip, In 2018 International Conference on Promising Electronic Technologies (ICPET) (pp. 124-128), DOI: 10.1109/ICPET.2018.00029, IEEE, October 2018, v. https://ieeexplore.ieee.org/abstract/document/8531242 (conferinta indexata Clarivate Analytics, cf. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=F1RMJIkwdrAjFOUIhr3&search_mode=GeneralSearch&prID=cf4344ba-eef5-4e9d-b6b6-1e916635957e)

535. [B11] Filip, F. G. (coord.), Civilizația românească. Știința și tehnologia informației în România, 468 pagini, ISBN 978-973-27-2955-7, Editura Academiei Române, București,

93

Page 94: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

2018; volum al colecției Civilizația românească (cca. 30 volume), elaborată sub egida Academiei Române, coord. acad. V. Spinei

536. [B84] Kusumo, B. S., Dahlan, R., & Krisnandi, D. (2017, October). Performance improvement of multicore processor using genetic algorithm. In 2017 International Conference on Computer, Control, Informatics and its Applications (IC3INA) (pp. 12-17). IEEE., v. https://ieeexplore.ieee.org/abstract/document/8251732/references#references (conferinta indexata WoS – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=F5lYXOwJKQjOMRFL1ey&search_mode=GeneralSearch&prID=6f85d4c0-c9fa-4caf-a3dd-afb0aff93db1). Nu s-a raportat in anul 2017 pentru ca s-a publicat efectiv in anul 2018.

537. [B20, B35] Abudalfa, S., Al-Mouhamed, M., & Ahmed, M., Comparative Study on Behavior-Based Dynamic Branch Prediction using Machine Learning, International Journal of Computing and Digital Systems, 8(01), 33-41, ISSN: 2210-142X, 2019, v. https://journal.uob.edu.bh/bitstream/handle/123456789/3393/paper%204.pdf?sequence=4&isAllowed=y

538. [B38] Atef Zaguia, Roobaea Alroobaea, Ontological Model to Predict user Mobility, January 2019, International Journal of Advanced Computer Science and Applications 10(2), ISSN: 2158-107X(Print), DOI: 10.14569/IJACSA.2019.0100253, v. https://thesai.org/Downloads/Volume10No2/Paper_53-Ontological_Model_to_Predict_User_Mobility.pdf (indexată Clarivate Emerging Sources Citation Index)

539. [G16] Sandu A. et al (editors), Ethics in Research Practice and Innovation, ISBN 9781522563112 (e-book), IGI Global, United States, 2019 (citarea la pg. 363), v. https://books.google.ro/books?id=sMJ0DwAAQBAJ&pg=PA363&lpg=PA363&dq=%22vintan%22+%22references%22+%222018%22&source=bl&ots=W4IhKoWhVv&sig=ACfU3U2M-jo6qBhofwVignCASX2f3s23Ag&hl=ro&sa=X&ved=2ahUKEwjs-bKy6YThAhXGSRUIHbtLBTQ4ChDoATABegQICRAB#v=onepage&q=%22vintan%22%20%22references%22%20%222018%22&f=false

540. [B45] Cheh C. et al, Data-driven model-based detection of malicious insiders via physical access logs. A Preprint, 21 pp., February 5 2019, v. https://www.perform.illinois.edu/Papers/USAN_papers/18CHE01.pdf

541. [B65, B70] Yeh, C. W., Tu, C. H., & Hung, S. H., Rapid Hybrid Simulation Methods for Exploring the Design Space of Signal Processors with Dynamic and Scalable Timing Models, Journal of Signal Processing Systems, vol. 91, iss. 304, ISSN: 1939-8018, pp 247–259, March 2019, v. https://link.springer.com/article/10.1007/s11265-017-1285-z (revistă cotată WoS, IF=0,893 cf. https://link.springer.com/journal/11265, v. https://uefiscdi.ro/preview.php?&id=2875&wtok=e46c89635a5964d26da3e2de008c807546736cb6&wtkps=XY5bCsIwEEX3Mt8WM3nYYbKHIriC0mitLUVI0/jAvZvED9G/4d5zLtPyjp+eDUNcxsmDHZikIY3GelYMfnCQL2JANXb3R+guMa4nmonOqOdbhaEPfT1VqFsVV5VpZBjg4yNiSWoG567z/rBVtZAkSRjKhUzsN9mgRlJCChLFMsVq/hwUafcHlOn9I9jGvt4=&wchk=a3cc68937206ca077c5ef6dee983bf5a83bee7c8)

542. [G23] Maria Timuş, Determinarea criteriilor de evaluare a limbajului profesional scris a unui text ştiinţific, Conferinţa Ştiinţifică Internaţională „Problemele acmeologice în domeniul culturii fizice”, ISBN 978-9975-131-55-1, 157 pagini, Chișinău, USEFS, 2018, v.

94

Page 95: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

http://109.185.200.51/bitstream/handle/123456789/227/08_timus_45-50.pdf?sequence=1&isAllowed=y (S-a publicat pe Google Scholar la 28.04.2019.)

543. [B11] Meng Wu, Chao Wang, Abstract Interpretation under Speculative Execution, 40-th ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI 2019), June 22–26, 2019, Phoenix, AZ, USA, 2019, v. https://128.84.21.199/pdf/1904.11170.pdf (conferință indexată WoS - v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=E41qvn7Zy4RNp2EUhgo&search_mode=GeneralSearch&prID=ba7c7473-0564-4d86-917e-c6fdc1361eee)

544. [B11] Amit Pandey, Abdella K. Mohammed, Analyzing Contemporary Control Hazard Resolution Techniques, IOSR Journal of Computer Engineering (IOSR-JCE), e-ISSN: 2278-0661, p-ISSN: 2278-8727, Volume 21, Issue 2, Ser. II (Mar - Apr 2019), pp. 65-70, 2019, v. http://www.iosrjournals.org/iosr-jce/papers/Vol21-issue2/Series-2/J2102026570.pdf; NASA ads, CrossRef, ANED (American National Engineering Database) etc.

545. [B11] Xuesong Su, WCET-Aware Compilation Techniques for Clustered VLIW Processors, PhD Thesis (159 pp.), The University of New South Wales, Australia, 15 April 2019, v. http://unsworks.unsw.edu.au/fapi/datastream/unsworks:57802/SOURCE02?view=true

546. [B38] Praet, S., & Martens, D., Efficient Parcel Delivery by Predicting Customers’ Locations, Decision Sciences, ISSN 0011-7315, 2019, v. https://scholar.google.com/scholar?start=60&hl=ro&as_sdt=0,5&sciodt=0,5&cites=2155058209638645013&scipsc= (revistă cotată WoS). Citare Praet 2019.pdf

547. [B38] Casagrande F. et al, Sensor Event Prediction using Recurrent Neural Network in Smart Homes for Older Adults, International Conference on Intelligent Systems, DOI: 10.1109/IS.2018.8710467, September 2018 (publicat în 2019), v. https://www.researchgate.net/publication/332998189_Sensor_Event_Prediction_using_Recurrent_Neural_Network_in_Smart_Homes_for_Older_Adults/references (conferință indexată WoS - http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=F1F9EmyYHkVp43h2ErS&search_mode=GeneralSearch&prID=6c7fef5b-c50d-4412-bb69-a2dbbdad5ba8)

548. [B65] Petrisko, D., Architectural exploration of Si-IF many-die processors, MSc Thesis, University of Illinois at Urbana-Champaign, USA, 2018 (published in 2019), v. https://www.ideals.illinois.edu/bitstream/handle/2142/101358/PETRISKO-THESIS-2018.pdf?sequence=1&isAllowed=y

549. [B25] Boqian Wang, Zhonghai Lu, Shenggang Chen, ANN Based Admission Control for On-Chip Networks, Conference: the 56th Annual Design Automation Conference 2019, DOI: 10.1145/3316781.3317772, June 2019, v. https://www.researchgate.net/publication/333334813_ANN_Based_Admission_Control_for_On-Chip_Networks/references (“As far as we are aware, the first known perceptron predictor was developed by Vintan”). Indexată WoS.

550. [B38, B45] Jaime Duque Domingo, Integración de cámaras de rango y redes inalámbricas para la localización de personas en entornos estructurados, PhD Thesis, UNED, Madrid, Spain, 2018 (publicată în iunie 2019), v. http://e-spacio.uned.es/fez/eserv/tesisuned:ED-Pg-IngSisCon-Jduque/DUQUE_DOMINGO__Jaime_Tesis.pdf

95

Page 96: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

551. [B94, B101] M. Latif, M. A. Ismail, Towards Multi-objective Optimization of Automatic Design Space Exploration for Computer Architecture through Hyper-heuristic, Engineering, Technology & Applied Science Research, Vol. 9, No. 3, ISSN 2241-4487, 2019, pp. 4292-4297, v. https://www.google.com/url?sa=t&rct=j&q=&esrc=s&source=web&cd=74&cad=rja&uact=8&ved=2ahUKEwjX4NGFjuniAhVFw4sKHXyzDU04RhAWMAN6BAgBEAI&url=https%3A%2F%2Fwww.etasr.com%2Findex.php%2FETASR%2Farticle%2Fdownload%2F2738%2Fpdf&usg=AOvVaw3fOy_fyKN2CCgmT7hbuxzt (revistă indexată WoS – v. https://www.etasr.com/index.php/ETASR/pages/view/links)

552. [B83] Tomasz Rak, Cluster-Based Web System Models for Different Classes of Clients in QPN, Proceedings of the 26-th International Science Conference on Computer Networks, CN 2019, Kamień Śląski, Poland, June 25–27, 2019, v. https://www.researchgate.net/publication/333845424_Cluster-Based_Web_System_Models_for_Different_Classes_of_Clients_in_QPN/references (conferinta indexata WoS - http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=F2aVt2lByvM2emLRCsm&search_mode=GeneralSearch&prID=945e3362-7d50-4463-b036-d804ba37238a si Scopus)

553. [B89, B93] M. Santhoshkumar, S. Sagayaraj, Ranking semantic web services by matching triples and query based on similarity measure, International Journal of Information Technology, ISSN: 2511-2104, DOI: 10.1007/s41870-019-00322-w, Springer, First online 27 June 2019, v. https://www.researchgate.net/publication/334066408_Ranking_semantic_web_services_by_matching_triples_and_query_based_on_similarity_measure/references, https://link.springer.com/article/10.1007/s41870-019-00322-w

554. [B35] Sparsh Mittal, A Survey of Techniques for Dynamic Branch Prediction, Concurrency and Computation: Practice and Experience, Vol. 31, No. 1, January 2019, v. https://onlinelibrary.wiley.com/toc/15320634/2019/31/1; (indexata WoS)

555. [B45] Mendes F. R., Hausdorff Path Clustering and Hidden Markov Model Applied to Person Movement Prediction in Retail Spaces, Advances in Analytics and Applications, DOI: 10.1007/978-981-13-1208-3_7, ISBN 978-981-13-1207-6, pp. 67-76, Springer Singapore, 2019 (v. https://books.google.ro/books?id=q1ptDwAAQBAJ&pg=PA67&lpg=PA67&dq=%22Hausdorff+Path+Clustering+and+Hidden+Markov+Model+Applied+to+Person+Movement+Prediction+in+Retail+Spaces%22&source=bl&ots=U0IAwX1dmN&sig=ACfU3U0Vr5mJTpuUqsWhPFW48JcKd5iukA&hl=ro&sa=X&ved=2ahUKEwjG4tzR7rXhAhVjo4sKHRRlBoIQ6AEwA3oECAgQAQ#v=onepage&q=%22Hausdorff%20Path%20Clustering%20and%20Hidden%20Markov%20Model%20Applied%20to%20Person%20Movement%20Prediction%20in%20Retail%20Spaces%22&f=false). Disponibil online la: https://www.academia.edu/31095217/IC_17_055_Hausdorff_Path_Clustering_and_Hidden_Markov_Model_Applied_to_Person_Movement_Prediction_in_Retail_Spaces.

556. [B11] WU, Meng. Analysis and Enforcement of Properties in Software Systems, PhD Thesis, Virginia Tech., Blacksburg, Virginia, USA, 2019, v. https://vtechworks.lib.vt.edu/bitstream/handle/10919/90887/Wu_M_D_2019.pdf?sequence=1&isAllowed=y

557. [B55, B51, B63] Daghan Dogan, Seta Bogosyan, Performance Analysis of SVM, ANN and KNN Methods for Acoustic Road-Type Classification, DOI: 10.1109/INISTA.2019.8778247, Conference: 2019 IEEE International Symposium on

96

Page 97: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

INnovations in Intelligent SysTems and Applications (INISTA), Sofia, Bulgaria, July 2019, v. https://www.researchgate.net/publication/334765991_Performance_Analysis_of_SVM_ANN_and_KNN_Methods_for_Acoustic_Road-Type_Classification/references; conferinta indexata WoS – v. http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=C3XXD5N6gS7hpsa3ZED&search_mode=GeneralSearch&prID=6d8a9828-f991-4acf-b6b3-446ecf7da825

558. [B81] Emerson Carlos Pedrino, Denis Pereira de Lima, Gianluca Tempesti, A multiobjective metaheuristic approach for morphological filters on many-core architectures, Integrated Computer-Aided Engineering, ISSN 1069-2509, DOI: 10.3233/ICA-190607, July 2019, v. https://www.researchgate.net/publication/334774233_A_multiobjective_metaheuristic_approach_for_morphological_filters_on_many-core_architectures/references; revista cotata Q1 WoS, IF=4,904 (2019)

559. [B70, B94] Jooya, Ali, Nikitas Dimopoulos, and Amirali Baniasadi, MultiObjective GPU design space exploration optimization, Microprocessors and Microsystems, Elsevier, ISSN: 0141-9331, Available online 6 June 2019, vol. 69, pp. 198-210, v. https://www.sciencedirect.com/science/article/abs/pii/S0141933118303739 (revistă cotată WoS); v. Citare_Jooya.pdf.

Some published comments related to my scientific work:

Dr. Daniel Jimenez (Rutgers University, USA) wrote in one of his scientific papers (MICRO-36 Conference, 2003): "Dynamic branch prediction with neural methods was first proposed by Vințan."

Dr. Alan Fern et al. (Purdue University, USA) wrote in another scientific paper (Journal of Systems Architecture, Elsevier, 2006): "[...] prior to our original Dynamic Decision Tree Predictor there was only one such proposal [Vințan]."

Dr. David Tarjan & Dr. Kevin Skadron (Virginia University, USA) wrote in their paper (ACM Transactions on Architecture and Code Optimization , 2005): " The idea of the neural branch predictor was originally introduced by Vințan."

Dr. M. Monchiero & G. Palermo (Politechnica di Milano): "Branch predictors based on neural methods have been recently studied [Vințan 99], [Jimenez 02,03], showing that they are the most accurate predictor in the literature."”(LNCS, 2005)

Dr. M. Aamer et al (University of Pennsylvania, TR 2005): “In this paper we detail and implement the pre-computed branch prediction algorithm described in [Vințan et al].”

Prof. dr. hab. Theo Ungerer (University of Augsburg, Germany, Report, 2002 & Preface to my book, 2007): "Prof. Vinţan of University “Lucian Blaga” in Sibiu, Romania, paved the way for neural network predictors by his 1999 paper on the use of neural networks in dynamic branch prediction and is one of the leading scientists in this domain. Prof. Vințan is one of the rare European scientist working in the research field of prediction techniques in computer architecture [...] his neural branch predictor is well-known in the research community."

97

Page 98: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Prof. dr. Gordon Steven (University of Hertfordshire, UK, Report, 2002): "I have always been extremely impressed by Prof. Vințan's novel research ideas and by the very large amount of research he manages to successfully undertake."

"I am pleased to confirm the title of Visiting Research Fellow. The title is intended to recognise your ongoing collaboration with our research activities" - Prof. J. M. Senior, Dean of the Faculty of Engineering and Information Sciences, University of Hertfordshire, UK (April 2003)

Authors from (INTEL CO, USA, Symposium on HPCA, 2004): „Perceptrons have been proposed earlier for branch prediction [Vințan]…”

Dr. Colin Egan (University of Hertfordshire, UK, in Journal of Systems Architecture, 2003, Elsevier): "The first known perceptron branch predictor was developed by Vințan."

Culpepper B., Gondree M. (University of California, UC Davis, USA): "There is a growing trend among researchers to apply machine learning techniques to the problem of branch prediction, starting with Vințan ." (Techn. Rep. 2005)

Amilcar Arfel Molina D'iaz (University Politecnica Catalunya, TR 2005, Barcelona): "La idea de este perceptron fue introducida originalmente por Vințan." (Cited Paper, 2005; see above)

Dr. Jan Petzold (University of Augsburg, Germany, PhD Thesis, 2005): "Prof. dr. Lucian Vințan danke ich fur die Zusammen arbeit und die vielen hilfreichen Diskussionen wahrend und nach seines Aufenthaltes in Augsburg."

Dr. Veerle Desmet (Gent University, Belgium, PhD Thesis, 2006): "Vințan first proposed dynamic branch prediction based on neural networks."

J. Singer et al. (Workshop on Statistical and Machine Learning Approaches Applied to Architectures and Compilation, 2007): "Vințan pioneers the idea of using perceptrons for branch prediction"

Professors J. Silc, T. Ungerer, B. Robic (International Journal on High Performance Systems Architecture, vol. 1, no. 1, 2007): "The first dynamic neural branch predictors were proposed by Vințan"

Dr. M. Black ( Applying Perceptrons to Speculation in Computer Architecture- Neural Networks in Future Microprocessors, Vdm Verlag, 2007): " It is important to note that this (my note: referring to Jimenez's paper published in 2000) is not the very first neural branch predictor proposed. Two neural approaches were proposed in a paper by Vințan in 1999."

Dr. Pan et al (Northwestern Polytechnical University, Xi’an, China, ACSAC 2007): “These years, some new methods are introduced such as Lucian N. Vințan’s pre-computed branches”

Dr. A. Seznec (Journal of ILP 9, USA, 2007): "The introduction of the neural based branch predictors [Vințan, Jimenez] provided a solution for effectively combining several predictions."

O. Kirby (TR, Canada, 2007): "The earliest proposal for using neural networks to predict branches we are aware of was by Vințan."

98

Page 99: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

V. Uzelac (MSc Thesis, USA, 2009): "Neural branch prediction is first proposed by Vințan. Vințan considers branch prediction as a particular problem in a broader class of pattern recognition problems that can be solved by neural networks."

Dr. S. Verma (PhD Thesis, Louisiana State University, USA, December 2011): „The idea of neural branch prediction was originally introduced by Vințan”

Dr. D. Jimenez (University of Texas at San Antonio, USA: An Optimized Scaled Neural Branch Predictor, Paper IEEE ICCD 2011 Conference): “The SNP/SNAP (Scaled Neural Analog Predictor) predictor is based on neural branch prediction, a technique introduced by Vințan [21] and refined by Jimenez et al. [8].”

Dr. Erich Bruns, Prof. Oliver Bimber (IEEE Pervasive Computing, pp. 74-81, April-June 2012): “Lucian Vințan and his colleagues introduced an approach for predicting person movement by applying neural networks.”

Professor Emeritus Lotfi A. Zadeh (University of California, Berkeley, USA, September 23-rd 2012, personal e-mail message): “Your work [Vințan] appears to be very interesting.”

Dr. Ralf Jahr (Augsburg University, PhD Thesis, 2012): „Mit Mitteln des HiPEAC Network of Excellence wurde mir ein kurzer Forschungsaufenthalt in Rumänien an der „Lucian Blaga“ University of Sibiu ermöglicht, was der Beginn einer sehr angenehmen und ergiebigen Kollaboration mit Prof. Dr. Lucian Vintan […].”

Wikipedia: “Machine learning for branch prediction using LVQ and multi-layer perceptrons, called "neural branch prediction", was proposed by Prof. Lucian Vințan (“Lucian Blaga” University of Sibiu).”

The Meltdown paper authors – this famous paper (2018) is available online at https://meltdownattack.com/meltdown.pdf – cited my IJCNN 1999 paper that introduces the fertile idea of neural branch prediction. They wrote that “More recently, ideas to use neural branch prediction [34, (– my paper!) 18, 32] have been picked up and integrated into CPU architectures [3].” In their also famous Spectre paper (2018), available online at https://spectreattack.com/spectre.pdf, the authors wrote that neural branch predictors involve „even more complex speculative behavior.” It is well-known that the authors of these two papers and, independently, some Google researchers (see https://googleprojectzero.blogspot.ro/2018/01/reading-privileged-memory-with-side.html) have discovered some commercial processors bugs related to the subtle complex interaction between out of order and speculative instructions execution, caches and virtual memory protection mechanism.

Dr. Michaud P. (ACM Transactions on Architecture and Code Optimizations, 2018) „In 1999-2000, two research teams, independently, started exploring the use of artificial neural networks for branch prediction [Vințan, Jimenez].”

Boqian Wang, Zhonghai Lu, Shenggang Chen (The 56-th Annual Design Automation Conference 2019), “As far as we are aware, the first known perceptron predictor was developed by Vintan”

TEZE DE DOCTORAT FINALIZATE SUB CONDUCEREA SUBSEMNATULUI(Primii 5 doctori în domeniul „Calculatoare și tehnologia informației” care au sustinut tezele de doctorat la Universitatea „Lucian Blaga” din Sibiu sunt cei prezentați în continuare, poz. 1 - 5. A se vedea și documentul disponibil online la http://doctorate.ulbsibiu.ro/wp-content/uploads/doctori-3.pdf.)

99

Page 100: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

0. Adrian Florea (conducere informală, de facto, nu și de jure), Creșterea performanței arhitecturilor de calcul cu paralelism la nivelul instrucțiunilor prin metode predictive, Universitatea „Politehnica” București (UPB), 16.06.2005, calificativ: foarte bine (conducator științific oficial: Prof. dr. ing. Mircea Petrescu, subsemnatul fiind, d.p.d.v. oficial, referent științific, alături de profesorii Adrian Petrescu – UP București și Vladimir Crețu – UP Timișoara)

1. Daniel I. Morariu, Contributions to Automatic Knowledge Extraction from Unstructured Data (Contribuţii la extragerea automată de cunoştinţe din masive de date), PhD Thesis (in English), “Lucian Blaga” University of Sibiu, 20 aprilie, 2007, calificativ: foarte bine (conducător științific: prof. univ. dr. ing. Lucian Vințan); The Ph. D. program was partially supported from both scientific and financial point of view by the SIEMENS Corporate Technology from Munich (Contact persons: Vice-President Prof. Dr.H.C. Hartmut Raffler, Prof. Dr. Volker Tresp). Comisia de doctorat: profesorii Sergiu Nedevschi, m. Acad. Rom. (AR) – UT Cluj-Napoca, Nicolae Țăpuș – UP București, Vladimir Crețu – UP Timișoara.

2. Árpad Gellért, Advanced Prediction Methods Integrated into Speculative Computer Architectures (Metode avansate de predicţie integrate în arhitecturi cu procesări speculative), PhD Thesis (in English, Cum Laudae), “Lucian Blaga” University of Sibiu, 14 noiembrie, 2008 (conducător științific: prof. univ. dr. ing. Lucian Vințan; co-tutela cu prof. univ. dr. doc. Theo Ungerer, Universitatea din Augsburg, Germania). Comisia de doctorat: profesorii Sergiu Nedevschi, m. AR – UT Cluj-Napoca, Nicolar Țăpuș – UP București, Vladimir Crețu – UP Timișoara.

3. Radu Creţulescu, Contribuţii la proiectarea sistemelor de clasificare a documentelor, Teză de doctorat, Universitatea “L. Blaga” din Sibiu, 4 noiembrie 2011, calificativ: foarte bine (conducator stiintific: prof. univ. dr. ing. Lucian Vințan). Comisia de doctorat: prof. Sergiu Nedevschi, m. Acad. Rom. – UT Cluj-Napoca, prof. Costin Bădică – Univ. din Craiova, conf. Macarie Breazu – ULB Sibiu.

4. Horia Calborean, Multi-Objective Optimization of Advanced Computer Architectures using Domain-Knowledge (Optimizarea multi-obiectiv a unor arhitecturi avansate de calcul utilizând cunoştinţe de domeniu), PhD Thesis (in English), “L. Blaga” University of Sibiu, November 25th 2011, calificativ: foarte bine (conducător științific: prof. univ. dr. ing. Lucian Vințan). Doctorand la zi, cu frecventa, finantat prin program POSDRU 7706/2007-2013. Comisia de doctorat: profesorii Nicolae Țăpuș – UP București, Valentin Cristea – UP București, Mircea Popa – UP Timișoara.

5. Ciprian Radu, Optimized Algorithms for Network-on-Chip Application Mapping (Algoritmi optimizaţi pentru maparea aplicaţiilor paralele pe arhitecturi de tipul Network-on-Chip), PhD Thesis (in English), “L. Blaga” University of Sibiu, November 25th 2011, calificativ: foarte bine (conducător științific: prof. univ. dr. ing. Lucian Vințan). Doctorand la zi, cu frecventa, finantat prin program POSDRU 7706/2007-2013. Comisia de doctorat: profesorii Nicolae Țăpuș – UP București, Valentin Cristea – UP București, Mircea Popa – UP Timișoara.

6. Radu Chiș, Developing Effective Multi-Objective Optimization Methods for Complex Computing Systems” (Dezvoltarea unor metode eficiente de optimizare multi-

100

Page 101: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

obiectiv, destinate sistemelor de calcul complexe), PhD Thesis (in English), “L. Blaga” University of Sibiu, September 28th 2017, calificativ: foarte bine (conducător științific: prof. univ. dr. ing. Lucian Vințan). Comisia de doctorat: profesorii Sergiu Nedevschi, m. Acad. Rom. – UT Cluj-Napoca, Emil Slușanschi – UP București, Mihai Micea – UP Timișoara. Doctorat validat prin O.M. nr. 5701/27.12.2017.

7. Ion Dan Mironescu, Sistem integrat pentru maparea cvasi-optimală a unor aplicații științifice pe arhitecturi HPC parametrizabile, Teză de doctorat, Universitatea “L. Blaga” din Sibiu, 19 Septembrie, 2018, calificativ: foarte bine (conducător științific: prof. univ. dr. ing. Lucian Vințan). Comisia de doctorat: profesorii Mihai Mocanu – Universitatea din Craiova, Sorin Moraru – Universitatea “Transilvania” din Brașov, Adrian Florea – Universitatea “L. Blaga” din Sibiu. Doctorat validat prin O.M. nr. 5474/14.11.2018, Anexa nr. 34.

8.

G. DIVERSE (LUCRĂRI PUBLICATE / PREZENTĂRI / EXPUNERI ALE SUBSEMNATULUI SAU LUCRĂRI / DOCUMENTE CARE FAC REFERIRE LA PERSOANA SA)

1. Elev rezolvitor Gazeta Matematica (Liceul „Gh. Lazăr”din Sibiu) – v. G.M. nr. 3/1978, nr. 12/1979 etc.

2. L. VINȚAN – Problema 22452, Gazeta Matematică (redactor șef acad. Nicolae Teodorescu), nr.9/1991, anul XCVI, pg. 353, ISSN 0016-5441, Societatea de Ştiinţe Matematice din România (problema publicată și în revista „Astra matematică”, vol. 1, nr. 3, iunie 1990, Sibiu, editor ASTRA)

3. L. VINȚAN – Cuvânt înainte la cartea “Afacerile mici si mijlocii” (autori G. Ionas, M. Tuturea), Editura Tehnica Bucuresti, 1999 (ISBN 973-31-1416-2)

4. VINȚAN L., Toacşe Gh., Bodea M. – Mediu de instruire pentru întreprinderile mici și mijlocii, lucrarile simpozionului “Educatie Continua”, Brasov, 21-28 Mai 2000, ISBN 973-99678-1-7, Editura Haco International, 2000

5. B. Marchidanu, Forum universitar pentru afaceri, interviu, in revista eWeek, nr. 23(53), 25 iunie 2002

6. Maier S. – Facultatea de inginerie a cerut suplimentarea, cu 30 de locuri, la sectia Calculatoare, Tribuna, Sibiu, 23 mai 2002

7. B. Bărbat – Sisteme inteligente orientate spre agent, Editura Academiei Romane, Bucuresti, ISBN 973-27-0940-5, 2002 (inclusiv cu multumiri pentru ajutorul acordat autorului de catre subsemnat)

8. L. VINȚAN – Gânduri de seară despre știința computerului…, in revista NetReport nr.108, septembrie 2001, Ed. Agora Media, Tg. Mures; o versiune adaugita a fost publicata in revista Euroeconomia XXI (Rondul de Sibiu), nr. 33, Sibiu, 7 oct. 2005, ISSN 1841-0707

9. L. VINȚAN – “Fertilitatea științifică” și axiologia cercetării românești, in revista Euroeconomia XX (Rondul de Sibiu), nr. 34, Sibiu, 14 octombrie 2005, ISSN 1841-0707

101

Page 102: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

10. L. VINȚAN – Disoluţia universităţilor româneşti, în revista Euroeconomia XXI (Rondul de Sibiu), nr. 43, Sibiu, 16 decembrie 2005, ISSN 1841-0707

11. L. VINȚAN – Prefaţă (6 pg.), la cartea intitulată “Predictia dinamica a valorilor in microprocesoarele generaţiei următoare”, autor A. Florea, Editura Matrix Rom, Bucuresti, 2005

12. Revista „Univers Ingineresc” nr. 10/2005, articolul Adunarea generala a ASTR (alegerea subsemnatului ca membru corespondent al Academiei de Stiinte Tehnice din Romania - www.astr.ro)

13. Ziarul “Tribuna Sibiului” din 28.12.2005, (un articol intitulat “Premiul Academiei Române la ULBS”,despre decernarea Premiului “Tudor Tanasescu” al Academiei Române, subsemnatului)

14. Revista Euroeconomia XXI (Rondul de Sibiu), nr. 45, Sibiu, decembrie 2005, ISSN 1841-0707 (un articol despre decernarea Premiului “Tudor Tanasescu” al Academiei, subsemnatului)

15. Ziarul Sibianul nr.39/5, 30 ian. – 5 febr. 2006, pg. 2 (un articol despre activitatea ştiinţifică a subsemnatului, autor Mihaela Petran)

16. VINȚAN L. – Calitatea cercetării prin abordări scientometrice, Euroeconomia XXI, ISSN 1841-0707, nr. 53, Sibiu, 24 februarie 2006

17. Buletinul informativ Academica al Univ. „L. Blaga” Sibiu, anul 8, nr.8, februarie 2006 (un articol despre decernarea Premiului “Tudor Tanasescu” al Academiei, subsemnatului; autor Daniela Flucsă)

18. VINȚAN L. – Gânduri către absolvenţi..., Euroeconomia XXI, ISSN 1841-0707, nr. 73, Sibiu, 14 iulie 2006

19. VINȚAN L. – Un român..., ziarul “Gândul”, an II, nr. 389, 8 august 2006

20. VINȚAN L. – România fractală, Euroeconomia XXI, ISSN 1841-0707, nr. 78, Sibiu, 18 august 2006

21. Interviu asupra educatiei ingineresti (10 min.) la Antena 1 Sibiu, realizator Razvan Marcu, 10.09.2006

22. VINȚAN L. – Pilule amare, Euroeconomia XXI, ISSN 1841-0707, nr. 86, Sibiu, 13 octombrie 2006

23. C. Oprean (ed.), L VINȚAN ş.a. – Metode şi tehnici ale cunoaşterii ştiinţifice, subcap. 3.5.1, 3.5.4.4, 3.5.6, (16 pagini), Editura Universităţii L. Blaga, ISBN (10) 973-739-284-1, Sibiu, 2006 (cota Bibl. ULBS 52.530); practic, contributia mea la aceasta lucrare este data de articolul intitulat "Scrierea și publicarea științifică”, disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Acad.pdf

24. „Monitorul de Sibiu” din 14.12.2006, articolul „Lucrare de referinta”, autor Daniela Flucsă (despre aparitia unei lucrari – v. poz. G22 - al carei coautor este subsemnatul)

25. Reporter - O carte intr-un domeniu de varf, articol in Revista Euroeconomia XXI, nr. 106, pg. 4, Sibiu, 9 martie 2007, ISSN 1841-0707 (un articol despre aparitia cartii mele intitulate: Prediction Techniques in Advanced Computing Architectures)

26. Revista “Univers ingineresc”, anul XVIII, nr. 8 (390), 16-30 aprilie 2007, un articol despre cartea subsemnatului intitulată “Prediction Techniques in Advanced Computing Architectures”

102

Page 103: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

27. L. N. VINȚAN – Maeştri ai ingineriei calculatoarelor. Pagini de istorie, Univers ingineresc, anul XVIII, nr. 16 (398), pg.4-5, 16-31 august 2007

28. L. VINȚAN, Mike O’Boyle et al., Co-editor al capitolului "Topic 4. High-Performance Architectures and Compilers" din volumul "Euro-Par 2007, Parallel Processing”, 13-th International Euro-Par Conference, Rennes, France, August 28-31, 2007, Proceedings. Lecture Notes in Computer Science 4641 Springer 2007, p. 235, ISBN 978-3-540-74465-8; v. http://www.informatik.uni-trier.de/%7Eley/db/conf/europar/europar2007.html#OBoyleBGV07; http://www.springerlink.com/content/q68771018215p702/. indexată ISI Thomson Reuters Web of Science ; conferinta de tip A in Computer Science Conference Rank - http://lipn.univ-paris13.fr/~bennani/CSRank.html

29. Viorel Dobran – Scrisoarea lui Dobrin către un puşti, Cotidianul, 12 noiembrie 2007 (am publicat o scrisoare trimisă mie de către Nicolae Dobrin în anul 1972, împreună cu un scurt comentariu al subsemnatului – v. http://www.cotidianul.ro/index.php?id=15544&art=38740&cHash=e45d597088); un articol al subsemnatului intitulat O scrisoare de la Nicolae Dobrin, mai complet, a aparut in ziarul “Societatea argeseana” din 12 nov. 2007 - http://societateaargeseana.ro/index.php?option=com_content&task=view&id=6800&Itemid=28

30. M. Chitic – Loja artelor, Tribuna, Sibiu, 28.03.2008 (un articol in care se relatează si faptul ca subsemnatul a prezentat cartea “Euromentality”, autori inginerii B. Faoro, I. Marginean)

31. L. VINȚAN – Prefaţă (7 pg.), la cartea intitulată “Text Mining Methods based on Support Vector Machine”, autor D. I. Morariu, Editura Matrix Rom, Bucuresti, 2008

32. A Golomety – Gramatici matriciale in paralelizarea proceselor economice, Ed. Univ. “L. Blaga”, Sibiu, 2008 (multumiri pt. “observatiile si indrumarile” acordate autorului de catre subsemnat)

33. Prezentare in enciclopedia "Who's Who in Romania", Editia princeps, Pegasus Press, Bucuresti, 2002

34. Enciclopedia personalitatilor din Romania, Verlag fur Personenenzyklopedian AG, 2008; 2011 (ed. a 6-a) powered by Hubner's Who is Who - v. http://www.whoiswho-verlag.ch/versionnew/rumaenien/verlag/63.php?txt_Language=RO&real_str_PersID=RO0301638&uniqueID=11880769-2adb-474d-98df-67a0e57e9dd3; 2013 (ed. a 8-a)

35. L. VINȚAN – Prefaţă (Foreword, 12 pg.), la cartea intitulată “Beyond the Limits of Modern Processors”, ISBN 978-973-755-426-0, autor Á. Gellért, Editura Matrix Rom, Bucuresti, 2008

36. D. Cinca – Reliability of AT Plastics Power System, MSc Project, University of Alberta, Canada, 2008 (multumiri pt. indrumarile acordate autoarei de catre subsemnatul)

37. Lucian N. VINŢAN – De la predicţia salturilor condiţionate la o problemă ştiinţifică fundamentală şi… dincolo de ea, Prezentare invitată susținută cu ocazia „cursului festiv” al absolvenților specializării “Calculatoare și tehnologia informației”, iunie 2008, sala „Thalia”, Sibiu, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Curs_festiv_2008.pdf

103

Page 104: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

38. R. Nicolae, Interviu cu Prof. dr. ing. M. Stetiu ("Aducem Sibiul istoric in patrimoniul UNESCO"; multumiri subsemnatului), Monitorul de Sibiu, 06.06.2009, v. http://www.monitorulsb.ro/cms/site/m_sb/news/stetiu_aducem_sibiul_istoric_in_patrimoniul_unesco_65939.html

39. Lucian N. VINŢAN – O provocare pentru promoția 2009: arhitecturi multicore și manycore, Prezentare invitată susținută cu ocazia „cursului festiv” al absolvenților specializării “Calculatoare și tehnologia informației”, 20 mai 2009, sala „Thalia”, Sibiu, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Curs_festiv_2009.pdf

40. T. Deleanu, Se caută cei mai mari 10 sibieni, Turnul Sfatului, 1 iunie 2010, Sibiu - http://www.turnulsfatului.ro/3821/se-cauta-cei-mai-mari-10-sibieni.html, http://www.turnulsfatului.ro/5324/concluzii-la-votul-sibienilor.html

41. Adevarul de seara. adevarul.ro, Sibiu 28 iunie 2010, o stire despre castigarea RoEduNet 2010 Best Paper Award pt. tineri cercetatori, de lucrarea doctorandului meu Horia Calborean (subsemnatul fiind co-autor), http://www.adevarul.ro/locale/sibiu/Un_profesor_de_la_ULBS_a_obtinut_premiul_I_la_Conferinta_Ro_EduNet_0_288571437.html

42. Dan Onescu, “Horia Calborean – cea mai bună lucrare la RoEduNet”, Turnul Sfatului, Sibiu, 29 iunie 2009 - http://www.turnulsfatului.ro/4141/.html

43. Horia Calborean, câştigător la RoEduNet, Tribuna, Sibiu, 1 iulie 2010, http://www.tribuna.ro/stiri/cultura/horia-calborean-castigator-la-roedunet-52898.html#spam

44. Răzvan Pop – Lucian Vinţan. 10 mari sibieni, blog personal, http://www.razvanpop.ro/blog/2010/07/19/lucian-vințan-10-mari-sibieni/ (preluat de numeroase alte bloguri, publicatii etc.)

45. Ralf Jahr, Towards Joint Research between Augsburg and Sibiu, HiPEAC Info 24, October 2010, edited by FP7 Network of Excellence on High Performance and Embedded Architecture and Compilation

46. Cum poti dinamiza o comunitate prin exemplul personal de viata dinamica, Tribuna Sibiu, 20 octombrie 2010, v. http://www.tribuna.ro/stiri/eveniment/cum-poti-dinamiza-o-comunitate-prin-exemplul-personal-de-viata-dinamca-57167.html; http://www.tedxsibiu.ro/ (despre expunerea mea invitata, intitulata “Paralelism, predicţie şi speculaţie în calculatoarele avansate”, TedX Sibiu, Hotel Ibis, 29 octombrie 2010; stire preluata de numeroase alte bloguri, publicatii etc.)

47. ASTRA în sărbătoare, Rondul de Sibiu, 15 noiembrie 2010 (legat de expunerea invitata a subsemnatului de la Colegiul national "Gh. Lazar" din Sibiu, 26.11.2010, „Absolvenţi de seamă - 3 generaţii”, A.T. Laurian, L. Vinţan, M. Badiu) - http://www.ronduldesibiu.ro/educatie-cultura/ASTRA-sarbatoare/

48. Lucian VINȚAN – Problema nr. 3, clasa a 12-a, Concursul interjudețean "Matematica, de drag", Editia a V-a, 19-21 noiembrie 2010, Colegiul national "Liviu Rebreanu", Bistrita (în legătură cu problema deschisă nr. 2, pg. 33, G.M. nr. 1/1979, autor prof. univ. dr. Al. Lupaş) - v. http://epsilon.ro/wp-content/uploads/2010/10/subiecte-2010.pdf si http://epsilon.ro/wp-content/uploads/2010/10/bareme-2010.pdf. Problema a fost publicată și în Gazeta Matematică, seria B, nr. 2/2011, ISSN 1584-9333, pg. 76 – autor L. Vințan, Societatea de Ştiinţe Matematice din România

104

Page 105: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

49. Mihai Mihăiţă, Florin Teodor Tănăsescu, Dumitru Ion, Academia de Ştiinţe Tehnice din România. Monografie 1997-2009, Editura AGIR, Bucureşti, 2010, ISBN: 973-720-284-0, v. http://www.agir.ro/carti.php?id_carte=110623& (în carte se gaseşte și o prezentare a subsemnatului, în calitate de membru al Academiei de Ştiinţe Tehnice din România, pg. 227-228)

50. Lavinia Spandonide, Gh. Păun (coord.), Intâlniri cu/Meetings with Solomon Marcus, Editura Spandugino, Bucuresti, 2010 (conţine si un articol al subsemnatului despre legaturile sale epistolare cu Acad. Solomon Marcus, pag. 1379-1385); republicat în ediția a 2-a, vol. 2, pg. 716-723, Editura Spandugino, 2011

51. Lucian VINȚAN – Problema 26392, Gazeta Matematică, seria B, nr.12/2010, pg. 606, ISSN 1584-9333, Societatea de Ştiinţe Matematice din România (rezolvata in G.M. nr.6/2011, pg. 310-311; dată la Concursul “Gh. Lazăr”, Sibiu, cls. a XI-a, martie 2011 – v. G.M. nr.6/2011, pg. 300; publicata si in lucrarea: D. Acu et al – Concursul interjudetean de matematica “Gheorghe Lazar”, pg. 84, Editura Asociatiunii Astra, Sibiu, 2014, ISBN 978-606-8482-05-7)

52. Lucian VINȚAN – O rezolvare vectorială a unei probleme, Gazeta Matematică, seria B, nr.1/2011, pg. 9-10, ISSN 1584-9333, Societatea de Ştiinţe Matematice din România (notă matematică)

53. Dr. Emanuel P. Tăvală – Poplaca: o istorie vie, Prefata de Acad. Prof. Mircea Păcurariu, Editura Andreiana, Editura Agnos, Sibiu, ISBN 978-973-1801-75-9, 2011 (contine inclusiv o prezentare a subsemnatului precum si cateva amintiri personale despre satul Poplaca, la pag. 302-305)

54. Campionatul Mondial de Branch Prediction, http://www.agora.ro/stire/campionatul-mondial-de-branch-prediction - Site "Agora Group S. A., 18 aprilie 2011

55. Un profesor sibian reprezintă România la o competiţie mondială organizată de INTEL, Adevarul de seara, Sibiu, 21/22 aprilie 2011, http://www.adevarul.ro/locale/sibiu/Un_profesor_sibian_reprezinta_Romania_la_o_competitie_mondiala_organizata_de_INTEL_0_466753550.html; site Agerpres 21 aprilie 2011

56. Profesorul Lucian Vinţan, în juriu, la Campionatul Mondial de Branch Prediction, Rondul de Sibiu, 21 aprilie 2011 – v. http://www.ronduldesibiu.ro/educatie-cultura/Profesorul-Lucian-Vințan,-juriu,-Campionatul-Mondial

57. Alexandra Elena Todoran, ULBS la INTEL Branch Prediction Championship, Tribuna, Sibiu, 23 aprilie 2011, http://www.tribuna.ro/stiri/actualitate/ulbs-la-intel-branch-prediction-championship-64478.html

58. C. Oprean, S. Radu (coord.), Universitatea “Lucian Blaga”din Sibiu. Monografie, Editura ULBS, ISBN 978-606-12-0197-6, 2011 (menționări ale subsemnatului la pg. 196-197, 209)

59. Multumiri subsemnatului pentru sprijinul profesional acordat: Poteraș Cosmin Marian, TEHNICI DE MODELARE, SIMULARE IN TIMP REAL ȘI VIZUALIZARE CU APLICAȚII IN STEERINGUL COMPUTAȚIONAL, Teza de doctorat, Universitatea din Craiova, 2011; Ralf D. Fabian, Bounded Rationality in Agent Orientation - “Just-In-Time” Visual Pattern Recognition, PhD Thesis, L. Blaga University of Sibiu, 2011; Pitic Antoniu –

105

Page 106: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Modelarea impactului social media in e-guvernare, Teza de dr., Univ. L. Blaga din Sibiu, 2015 etc.

60. Lucian N. VINŢAN – Cum am putea stăpâni complexitatea proiectelor actuale?, Prezentare invitată susținută cu ocazia „cursului festiv” al absolvenților specializării “Calculatoare și tehnologia informației”, iunie 2011, sala „Thalia”, Sibiu

61. Paul Goma, Jurnal 2011 (citat textul meu de adeziune la apelul de restituire a cetățeniei române scriitorului Paul Goma – v. http://www.paulgoma.com/jurnal-2011/, pg. 1923.)

62. Lucian VINȚAN – Prefaţă (7 pg.), la cartea intitulată “TEXT MINING. TEHNICI DE CLASIFICARE ŞI CLUSTERING AL DOCUMENTELOR”, autori R. G. Cretulescu, D. I. Morariu, Editura Albastră, ISBN 978-973-650-289-7, Cluj-Napoca, 2012

63. “[…] deosebit respect faţă de o tradiţie universitară întemeiată de mai bine de două secole, adică din anul 1786 pe tărâm şi cuvânt teologic, şi continuată de la Gheorghe Lazăr şi Andrei Şaguna încoace, de mari corifei până azi, istorici, filosofi, matematicieni ori ingineri de mare renume, cum a fost Hermann Oberth sau, mai nou, este „politropul” Lucian Vinţan.” (conf. univ. dr. L. Grozea, “Personalități de referință ale Sibiului”, ed. a 2-a, Editura Agnos, Sibiu, ISBN 978-973-1801-96-4, pg. 85/182, 2012)

64. Articole comentand alegerea subsemnatului ca membru titular al Academiei de Stiinte Tehnice din Romania (06.07.2012): Turnul Sfatului, autor Stefan Dobre, 10.07.2012 (http://www.turnulsfatului.ro/15909/doi-profesori-de-la-facultatea-de-inginerie-a-ulbs-au-devenit-membri-titulari-ai-academiei-de-stiinte-tehnice-din-romania.html), Rondul de Sibiu, 10.07.2012 (http://www.ronduldesibiu.ro/educatie-cultura/Profesorii-Bologa-Vințan-Inginerie-sunt-membri), Agerpres (http://www.agerpres.ro/media/index.php/comunicate/item/135409-Comunicat-de-pres-Universitatea-Lucian-Blaga-Sibiu.html), Tribuna, Sibiu, 16.07.2012 - http://www.tribuna.ro/stiri/actualitate/octavian-bologa-si-lucian-vințan-membri-titulari-ai-academiei-de-stiinte-tehnice-din-romania-79025.html etc. Interviu pe aceeasi tema la Radio Cluj (reporter Cristian Florea) in 11.07.2012.

65. Articol de prezentare a subsemnatului in revista HiPEACinfo, no. 33, January, 2013, pg. 15, v. http://www.hipeac.net/newsletter (cu ocazia acceptarii ca membru HiPEAC); Hipeac info is a quarterly newsletter published by the Hipeac network of excellence, funded by the 7th european framework programme (fp7) under contract no. fp7/ict 287759.

66. Despre HiPEAC Workshop organizat de subsemnatul la ULB Sibiu 1-3 aprilie 2013: Laura Buciu, Viitorul în lumea calculatoarelor se discută la Sibiu, Tribuna, Sibiu, 01.04.2013 - http://www.tribuna.ro/stiri/actualitate/viitorul-in-lumea-calcualtoarelor-se-discuta-la-sibiu-85835.html; http://www.turnulsfatului.ro/19797/cum-arata-urmatorii-zece-ani-ai-sistemelor-de-calcul:-tema-de-discutie-la-ulbs.html; T. Deleanu, Sistemele de calcul ale viitorului, în cercetare la ULBS, Turnul Sfatului, Sibiu, 15.04.2013 – v. http://www.turnulsfatului.ro/20062/sistemele-de-calcul-ale-viitorului-in-cercetare-la-ulbs.html

67. Membru in comisia de doctorat, alaturi de profesorii Gheorghe Stefan - m. c. A. R. si Razvan Andonie, a domnului ing. Istvan Lorentz, Universitatea “Transilvania” din Brasov, 12.07.2013 – film pe YouTube la adresa http://www.youtube.com/watch?v=hcosARcWfc0

106

Page 107: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

68. Lucian VINȚAN, Spre o “instituție a profesorului” în universitățile românești, Contributors.ro. Texte cu valoare adaugata, 27.09.2013 (disponibil la adresa de internet http://www.contributors.ro/editorial/spre-o-institu%c8%9bie-a-profesorului-in-universita%c8%9bile-romane%c8%99ti/); preluat http://www.hotnews.ro/stiri-opinii-15667532-spre-institutie-profesorului-universitatile-romanesti.htm etc. Peste 3330 vizualizări până la 22.06.2018.

69. Anuarul Academiei de Ştiinţe Tehnice din România pe anul 2013, 123 pg., Editura AGIR, Bucuresti, 2014 (prezentare a subsemnatului in calitate de membru titular - CV; 96 membri titulari, 85 membri corespondenti); Anuarul Academiei de Ştiinţe Tehnice din România pe anul 2016, Editura AGIR, Bucuresti, 2016, ISSN 2360 – 3127 (pg.110, pg. 117; 90 membri titulari, 81 corespondenti), 2017 etc.

70. Virgil Alexandru Iordache, Un profesor adevărat către doctoranzii lui potențiali, blog personal, disponibil la http://studenteco.blogspot.ro/2013/10/lucian-vințan-un-profesor-adevarat.html, 13.10.2013 (despre scrisoarea mea deschisa intitulata „Ganduri (razlete) despre un eventual doctorat sub conducerea mea”, disponibila la http://webspace.ulbsibiu.ro/lucian.vintan/html/Ganduri.doc)

71. Invitat la emisiunea "Student Focus", Antena 1 Sibiu, moderator Alexandra Blejan, difuzata pe data de 28.02.2014, orele 16:00 / reluare 01.03.2014, orele 11:00 (discutie despre cercetarea stiintifica desfasurata in cadrul centrului de cercetare pe care il conduc in cadrul Facultatii de inginerie, ULBS) – v. http://www.youtube.com/watch?v=Zi5gW7a3C4M (peste 590 vizualizari pana la 22.06.2018)

72. Despre alegerea mea ca “Profesor Bologna” (mai 2014) - http://www.ulbsibiu.ro/ro/stiri/news.php?news_id=2162, http://www.tribuna.ro/stiri/actualitate/cinci-profesori-ulbs-numiti-profesori-bologna-96674.html (16.05.2014)

73. Membru al “Grupului de analiză, atitudine şi acţiune în politica ştiinţei din România” (Think Tank - G3A), Revista de politica stiintei si scientometrie – serie noua, ISSN-L 1582-1218, Vol. 3, No. 2, pg. 100-102, iunie 2014, v. http://rpss.inoe.ro/articles/grup-de-analiza-atitudine-si-actiune-in-politica-stiintei-din-romania-think-tank-g3a-infiintat-ca-urmare-a-propunerii-mes; RPSS este indexată in BDI SCIPIO – Scientific Publishing and Information Online, v. http://www.scipio.ro/web/revista-de-politica-a-stiintei-si-scientometrie

74. R. Munteanu, Ingineria electrică clujeană. Repere istorice, Ed. Mediamira, ISBN 978-973-713-326-7, Cluj-Napoca, 2014 (pg. 223, subsemnatul este menționat în calitate de conducator de doctorat în cadrul UTCN)

75. Multumiri pentru ajutorul acordat pe plan profesional - Iunia-Cristina Borza, A broad Analysis of Marketing Strategies for their Incorporation as Activities in a User-Centered Process, Master Degree in Research and Innovation in Information and Communication Technologies, Universidad Autonoma de Madrid, Septiembre 2014, v. https://repositorio.uam.es/bitstream/handle/10486/662540/Borza_Iunia_Cristina_tfm.pdf?sequence=1

76. Annual Report 2013, AGIR Publishing House, Bucharest, 2014 – v. http://www.astr.ro/files/id29561_1_Raport_Euro-CASE_engleza.pdf (pp. 11)

107

Page 108: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

77. Multumiri pentru ajutorul acordat pe plan profesional – Stelian Ciurea, Aplicaţii ale algoritmilor imperialist-competitivi în determinarea parametrilor optimi ai controlerelor fuzzy, Teza de doctorat, UT Cluj-Napoca, (februarie) 2015

78. VINȚAN L. – LBUS Framework for Multi-Objective Optimization using Domain-Knowledge, HiPEAC Info, no. 41, January 2015, pp. 44-45, v. https://www.hipeac.org/assets/public/publications/newsletter/hipeacinfo41t.pdf; https://www.hipeac.org/assets/public/publications/newsletter/hipeacinfo41.pdf; HiPEAC Info is a quarterly newsletter published by the HiPEAC Network of Excellence, funded by the 7-th European framework programme (fp7) under contract no. fp7/ict 287759

79. Comentariu cu ocazia trecerii in nefiinta a acad. Solomon Marcus, 17.03.2016, disponibil online la: http://www.contributors.ro/editorial/la-o-despartire/#comment-275358 respectiv http://www.gandul.info/stiri/matematicianul-solomon-marcus-va-fi-inmormantat-duminica-la-cimitirul-filantropia-din-bucuresti-15116324

80. Articol in ziarul Mesagerul de Sibiu, 13.06.2016 - http://www.mesageruldesibiu.ro/universitari-sibieni-de-prestigiu-in-consiliul-national-de-atestare-a-titlurilor-diplomelor-si-certificatelor-universitare/

81. Prezentare scurt CV (pg. 203) + profil biografic extins al subsemnatului la pg. 151 – 155 (în cadrul unui capitol „dedicat celor care au contribuit major la făurirea şcolii de inginerie de la Sibiu” – 9 cadre didactice selectate de editori), pg. 283 – 287, pg. 349 – 353, în cartea: Bondrea I., Roșca L. (coord.) – Facultatea de inginerie la 40 de ani, Editura Universității „Lucian Blaga” din Sibiu, ISBN 978-606-12-1330-6, 2016 (Cote Bibl. ULBS: E/1330 respectiv 37/F12)

82. L. Vințan, Mesaj de suflet (pg. 23), 50 de ani de învățământ superior de Automatică și 40 de ani de învățământ superior de Calculatoare la Craiova, Editura Universitaria, Craiova, 2016, v. http://ace.ucv.ro/pdf/stiri/2016/20161003_ACE_volum_omagial_automatica50_calculatoare40.pdf

83. Prezentare a activității științifice a subsemnatului în rubrica “Profil de cercetător” (pagina 2/4), „cercetare@ulbsibiu” (“newsletter destinat promovării comunității academice și de cercetare”), nr. 1, aprilie 2017, Universitatea „L. Blaga” din Sibiu, v. http://cercetare.ulbsibiu.ro/docs/newsletter/newsletter_nr1_Aprilie2017.pdf; un alt scurt profil biografic este disponibil pe site-ul ULBS, v. https://www.ulbsibiu.ro/ro/despre_ulbs/profil_academic/?prof=vintan

84. Lucian N. VINŢAN – Gânduri despre educație, creație și sens, Discurs invitat, susținut cu ocazia „cursului festiv” al absolvenților specializărilor “Calculatoare și tehnologia informației” respectiv „Ingineria sistemelor multimedia”, 22 mai 2017, sala „Thalia”, Sibiu, disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Discurs_2017.pdf

85. Prezentare profil profesional al subsemnatului, pg. 410-411, în lucrarea: Cosmin-Crăciun Cruciat, Istoria Colegiului Național “Gheorghe Lazăr” din Sibiu: de la origini până în anul 2017, 668 pagini, ISBN 978-606-989-026-4, Editura „Andreiana” Sibiu, 2017 (în cadrul paragrafului intitulat „Elevi ai liceului în perioada comunismului care au devenit mari personalități”, pg. 406 – pg. 411: Paul Goma, Victor Grecu, Mircea Braga, Nicolae Manolescu, Ioan Glodariu, Ilie Mihai Toader,

108

Page 109: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Iacob Cătoiu, Astrid Cora Fodor, Lucian N. Vințan, Iustin Panța). La pg. 508 este consemnată participarea subsemnatului la ediția I a acțiunii „de recuperare a valorilor colegiului, constând în prezentarea a trei personalități aparținând unor generații diferite de absolvenți” intitulate „Trei generații”, 2010, C. N. ”Gh. Lazăr”, Sibiu.

86. Lucian N. VINŢAN – Fantome catastrofice și catastrofe fantomatice în microprocesoarele actuale, Prezentare invitată susținută cu ocazia „cursului festiv” al absolvenților specializărilor “Calculatoare și tehnologia informației” respectiv „Ingineria sistemelor multimedia”, 21 mai 2018, sala „Thalia”, Sibiu. Suport prezentare disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Ultimul_curs_promotia_2018.pdf

87. Lucian VINȚAN, Scurt necrolog: Ilie Balaci, publicat la 21.10.2018, disponibil online la https://adevarul.ro/locale/craiova/video-filmul-mortii-ilie-balaci-Inainte-deces-reclamat-dureri-puternice-gat-sotia-lacrimi-ochi-atat-iubit-craiova-asta-murit-aici-1_5bcc5f1edf52022f75b800dc/comment/2257195.html

88. C. Kifor (prorector ULBS), Activitatea de CDI la ULBS şi colaborarea cu industria, ULB Sibiu, 14.11.2018 (23 PPT slides; Slide 5 – se citează impactul industrial al ideii de neural branch prediction, introdusă de subsemnat.)

89. Citări în lucrarea: Filip, F. G. (coord.), Civilizația românească. Știința și tehnologia informației în România, 468 pagini, ISBN 978-973-27-2955-7, Editura Academiei Române, București, 2018; volum al colecției Civilizația românească (cca. 30 volume), elaborată sub egida Academiei Române, coord. acad. V. Spinei. În continuare, câteva exemple de citări referitoare la L. Vințan (pg. 370, 371):

90. “So, it turns out, in the M1 core, Samsung engineers implemented branch prediction using a neural network. This is a relatively new type of predictor of transitions. For the first time, it was proposed to be used in theoretical work by Professor Lucian Vintan in 1999 (scientific article "Towards a High Performance Neural Branch Predictor").” – “Deep inside the Exynos chip in the Galaxy S7 is a neural network to predict transitions”, v. https://weekly-geekly.github.io/articles/397075/index.html

91.

H. REFERENT ŞTIINŢIFIC: CĂRȚI, REVISTE, CONFERINȚE (International Program Committee)

Referent științific - CĂRȚI (selecție)

109

Page 110: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

D. Sima – Sisteme logice multivalente: algebre, circuite, algoritmi de sinteză, Editura Universitatii “L. Blaga”, Sibiu, 2003 A. Florea – Predictia dinamica a valorilor in microprocesoarele generaţiei următoare, Editura Matrix Rom, Bucuresti, 2005 R. Baciu – Programarea aplicaţiilor grafice 3 D cu OpenGL, Editura Albastră, Cluj-Napoca, 2005 I. D. Morariu – Interfete si protocoale de comunicatie: Îndrumar de laborator, ISBN 9789737394477, Editura Universitatii “L. Blaga”, Sibiu, 2007 A. Florea – Introducere in stiinta si ingineria calculatoarelor, Editura Matrix Rom, Bucuresti, 2007 A. Golomety – Gramatici matriciale in paralelizarea proceselor economice, Ed. Universitatii “L. Blaga”, Sibiu, 2008 D. I. Morariu – Text Mining Methods based on Support Vector Machine, Editura Matrix Rom, Bucuresti, 2008 Á. Gellért – Beyond the Limits of Modern Processors, Editura Matrix Rom, Bucuresti, 2008 R. Brad – Reţele locale de calculatoare. Proiectare şi administrare, Editura Universităţii “Lucian Blaga”, Sibiu, 2009 Árpád Gellért – Analiza şi proiectarea algoritmilor. O abordare pragmatică prin aplicaţii Java, Editura Techno Media, ISBN 978-606-8030-81-4, Sibiu, 2010 R. G. Cretulescu, D. I. Morariu – TEXT MINING. TEHNICI DE CLASIFICARE ŞI CLUSTERING AL DOCUMENTELOR, Editura Albastră, ISBN 978-973-650-289-7, Cluj-Napoca, 2012 Gabriel Gîrban – MONITORIZAREA SARCINII DISPONIBILE ÎN NODURILE REŢELELOR DE SENZORI FĂRĂ FIR PRIN DETERMINAREA CONSUMULUI DE ENERGIE CU METODE ONLINE ŞI OFFLINE (teza de doctorat), ISBN 978-606-554-518-2, Editura Politehnica, Timisoara, 2012 I. D. Morariu – Interfețe și protocoale de comunicații, ISBN 978-606-12-1259-0, Editura Universității “L. Blaga” din Sibiu, 2016 M. Lefter – On Leveraging Vertical Proximity in 3D Memory Hierarchies, ISBN 978-94-6186-983-8, PhD Thesis, Delft University ofTechnology, Printed in The Netherlands, 2018

Referent științific - REVISTE (selecție)

"IEEE Transactions on Computers" (2008 TC Reviewers List," IEEE Transactions on Computers, vol. 58, no. 1, pp. 139-144, Jan. 2009, doi:10.1109/TC.2009.1 - http://www2.computer.org/portal/web/csdl/doi/10.1109/TC.2009.1) "IEE Proceedings. Computer and Digital Techniques", Anglia (2001, 2003) "International Journal of Computers, Communications & Control" (IJCCC, permanent) "Studies in Computational Intelligence (SCI). Advances in Intelligent and Distributed Computing", Volume 78, Springer-Verlag Berlin Heidelberg (2008) "Concurrency and Computation: Practice and Experience", John Wiley & Sons, Ltd.(#CPE-08-0006, 2008) Transactions on HiPEAC (din 2010) Membru al Euro-Par Conferences Advisory Board – v. http://www.uni-passau.de/Europar_ab_liste.html

110

Page 111: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Membru al Comitetului editorial al Buletinul Institutului Politehnic din Iaşi, Automatic Control and Computer Science Section (CNCS B+, Zentralblatt, Copernicus, DOAJ etc.), ISSN 1220 - 2169 - v. http://www.ace.tuiasi.ro/index.php?page=678 etc. Membru al Comitetului editorial (Associate Editor) al Journal of Computer Science and Control Systems, P-ISSN: 1844-6043, Universitatea din Oradea – v. http://electroinf.uoradea.ro/index.php/editorial-board.html (revista indexată BDI - indexed Copernicus, Scipio, ProQuest etc.) Membru al Comitetului stiintific international al revistei "Acta Universitatis Cibinienesis. Tehnical Series" (CNCS B), din anul 2010 - v. http://web.ulbsibiu.ro/vlad.sava/pdf/acta/comitet_stiintific.pdf Referent al revistei „Microprocessors and Microsystems”, Elsevier, (http://www.elsevier.com/wps/find/journaldescription.cws_home/525449/description) Ms. Ref. No.: MICPRO-D-11-00146, November 2011, Ms. Ref. No.: MICPRO-D-11-00146R1, May 2012 Din anul 2012 pana in prezent, membru al Colegiului editorial al Revistei de politica stiintei si scientometrie – serie noua, ISSN-L 1582-1218, v. http://rpss.inoe.ro/editorial (cotata CNCSIS B+ cf. http://uefiscdi.gov.ro/UserFiles/File/Indicatorul%20IC6%20-%20Prezentare/Reviste%20B_B+.pdf; Indexată in BDI SCIPIO – Scientific Publishing and Information Online, v. http://www.scipio.ro/web/revista-de-politica-a-stiintei-si-scientometrie) Associate Editor, Proceedings of the 18-th Joint International Conference on System Theory, Control and Computing – ICSTCC 2014, October 2014 in Sinaia (Romania), v. https://controls.papercept.net/conferences/scripts/login.pl?57808&603d701bb3bf7adb7f45137d01f59706 2015 March 1-st: Member of the International Editorial Board for NED University Journal of Research – Applied Sciences, ISSN 1023-3873, NED University of Engineering and Technology, Karachi, Pakistan, v. http://www.neduet.edu.pk/NED-Journal/ediBoard.html; the journal is indexed in the following scientific databases (BDI): INSPEC, ProQuest, EBSCO, Engineering Research Database, Library of Congress, British Library etc., see http://www.neduet.edu.pk/NED-Journal/Info.html Associate Editor, Proceedings of the 19-th Joint International Conference on System Theory, Control and Computing – ICSTCC 2015, Cheile Gradistei - Fundata Resort, Romania (Romania), IEEE, October 14 - 16, 2015 - https://controls.papercept.net/conferences/scripts/userspace.pl?105&84IE4w6dU9 Member of Editorial Board, Journal of Electrical Engineering, Electronics, Control and Computers Science – JEEECCS, v. http://jeeeccs.net/index.php/journal/about/editorialBoardStatic (indexed Google Scholar, Copernicus – v. http://jeeeccs.net/index.php/journal/index) Referent al revistei Proceedings of The Romanian Academy, series A (Q2 WoS), evaluare un articol (“High Performance Charge Pump Circuit Design for RFID Transponder EEPROM”), 08.07.2017 Referent științific al revistei Journal of Universal Computer Science, ISSN 0948-695X, v. http://www.jucs.org/jucs_info/aims, cotată Clarivate Analytics WoS (v. https://uefiscdi.ro/resource-86979?&wtok=f54d6ce2038764c8d5fa5edfdeb8f21bc07ecfb2&wtkps=XY9dDoIwEITvss+K3f5IXe5gTDwBoZUsIkUKYjTeXcAHo2+T2flmMzlt6RnJEIz9uY6QMaEyWltlskiKILKDWe0IdHUf/e0qWu3LpmrcgPf+EXiNXahcXq2RsWhjM8eRgOFTgIiLkxI41zaH40alQlpphbHzQU7Zr7NCjVYJKaxYKLNQ+z8GxdT7E5TTAL98nNQluKH2SejKZPAnjoXj5MZ+TPKu5yLUkL3e

111

Page 112: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

&wchk=0c133abb3ade529ca4554e935e7484ed3b87b0c1); evaluat articolul: Dezsö Sima - The Square-Root Rule for Scaling Memory Channels in Servers, la data de 31.03.2018, Review_Vintan_JUCS_2018.docx (v. e-mail invitatie trimis la 28.03.2018)

Membru al comitetelor științifice - CONFERINȚE (selectie)

1. 13-th International Conference on Parallel and Distributed Computing and Systems (PDCS '01), Anaheim California (USA), August 21-24, 20012. The 20-th IASTED International Multi-Conference - Applied Informatics AI 2002, 18-21 February, 2002, Innsbruck, Austria3. 14-th IASTED International Conf. Parallel and Distributed Computing and Systems (PDCS 2002), MIT, Cambridge, USA, November 4-6, 20024. The 21-st IASTED International Multi-Conference - Applied Informatics AI 2003, 10-13 February, 2003, Innsbruck, Austria5. 22-nd IASTED Multi-Conference on Applied Informatics, Parallel and Distributed Computing and Networks PDCN 2004, February 17-19, 2004, Innsbruck, Austria6. 16-th IASTED International Conf. Parallel and Distributed Computing and Systems (PDCS 2004), MIT, Cambridge, USA, November 9-11, 20047. SACCS 2004 – The 8-th International Symposium on Automatic Control and Computer Science, October 22-23, 2004, Iasi, Romania8. High Performance Computing & Simulation - HPCS 2005 in Latvia9. HPC&S 2006 in Bonn, Germania; HPC&S 2009 in Leipzig - http://cisedu.us/cis/hpcs/09/main/storageDocs.jsp?doc=/storage/docs/hpcs/09/docs/itpc.html10. Asia-Pacific Computer Systems Architecture Conference - ACSAC 2006, in China (Shangai)11. RoEduNet, Sibiu 2006, 2010 si Craiova 200712. Architecture of Computing Systems - ARCS 2007, in Zurich, Elvetia - http://arcs07.ethz.ch13. Asia-Pacific Computer Systems Architecture Conference - ACSAC 2007, in Seoul, Coreea de Sud - http://it.korea.ac.kr/acsac0714. EuroPar 2007 in Rennes, Franta - http://europar2007.irisa.fr15. High Performance Computing & Simulation - HPC&S 2007, in Cehia (Praga)16. International Symposium on Intelligent and Distributed Computing - IDC 2007 v. http://software.ucv.ro/~cbadica/idc2007/index.html17. SINTES 2007 in Romania (Craiova) - http://ace.ucv.ro/sintes1318. SACCS 2007 in Romania (Iasi) - http://www.saccs07.tuiasi.ro19. IASTED PDCS 2007, Cambridge Massachusettes, SUA20. ICCCC 2008 Oradea - http://www.iccc.univagora.ro/iccc-2008/?page=thcom21. ARCS 2008, Dresden, Germany - http://arcs08.inf.tu-dresden.de22. IASTED PDCN 2008, Innsbruck, Austria - http://www.iasted.org/conferences/pastinfo-597.html23. ACSAC 2008, Taiwan - http://www.ccrc.nthu.edu.tw/acsac200824. IDC 2008, Italia25. DTA 2008, China26. ARCS 2009, Delft, Olanda - http://www.ida.ing.tu-bs.de/arcs09/?n=127. SAMOS Workshop 2009 28. International Conf. on Control Systems and Computer Science (CSCS-17), Bucharest 2009 - https://cscs17.ncit.pub.ro/committees/content.html29. IDC 2009, Cyprus - http://www.idc2009.cs.ucy.ac.cy/committees.html

112

Page 113: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

30. Parallel Programming and Run-time Management Techniques for Many-core Architectures, PARMA Workshop, February 2010, http://www.sra.uni-hannover.de/arcs2010/workshops.php#PARMA31. SAMOS Workshop 2010, Samos, Greece, July 2010, http://samos.et.tudelft.nl/samos_x/32. International Conference on Advanced Science and Technology, India - AST 2010 - v. http://www.sersc.org/AST2010/#oc33. The 4-th International Symposium on Intelligent Distributed Computing IDC 2010 – Maroc - http://www.ieee.ma/~idc2010/committees.html34. Conferece on Architecture of Computing Systems, ARCS 2010 Hannover, Germania - http://www.sra.uni-hannover.de/arcs2010/index.php35. Database Theory and Applications, DTA 2010 - Filipine, v. http://www.sersc.org/DTA201036. Conference on Advances in Electro-Technologies, ICAdET 2010 - Oradea - http://electroinf.uoradea.ro/ICADET2010/progr_comitee.htm#Computer_Science_and_Control_Systems_Session37. Architecture of Computing Systems, ARCS 2011 Italy -http://conferences.dei.polimi.it/arcs2011/committees.php38. The 2011 International Conference on High Performance Computing & Simulation, HPCS 2011 - http://hpcs11.cisedu.info/committees/itpc, Turkey39. International Conference CSCS 18, Bucharest, 2011 - http://cscs18.ncit.pub.ro/40. International Symposium on Intelligent Distributed Computing, IDC 2011, The Netherlands - http://idc-2011.d-cis.nl/41. The 15-th Joint International Conference on System Theory, Control and Computing (ICSTCC 2011), Sinaia, Romania, 14-16 October 2011 - http://www.ace.tuiasi.ro/icstcc2011/index.html42. The 23rd IASTED Conference on Parallel and Distributed Systems (PDCS 2011), Dallas, USA, December 14-16, 2011 - http://www.iasted.org/conferences/ipc-757.html43. The Journal of Instruction-Level Parallelism, 2nd JILP Workshop on Computer Architecture Competitions (JWAC-2): Championship Branch Prediction, in conjunction with: ISCA-38, June 2011, USA: http://isca2011.umaine.edu/; see http://www.jilp.org/jwac-2/; Member of the Program Committee (together with Prof. Yale Patt – Eckert Mauchly Award!, Dr. Andre Seznec, Prof. Trevor Mudge, Dr. Daniel Jimenez and other 3 excellent researchers from INTEL)44. Ninth European Dependable Computing Conference - EDCC 2012, Sibiu, Romania, May 8-11, 2012, v. http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=6214752 (am fost si Session 3 Chair - http://homepages.gsd.inesc-id.pt/~mpc/edcc/edcc-program.pdf)45. Architecture of Computing Systems, ARCS 2012 Germany, Munich, February-March 2012 - http://www.arcs2012.tum.de/46. International Symposium on Intelligent Distributed Computing, IDC 2012, Calabria, Italy, September 2012 - http://idc2012.deis.unical.it/47. International Conference on Web Intelligence, Mining and Semantics – WIMS 12, Craiova, June 13-15 2012 - http://software.ucv.ro/Wims12/organization.html48. 16-th Joint International Conference on System Theory, Control and Computing - ICSTCC 2012, October 12-14, 2012 Sinaia (Romania) - http://www.aie.ugal.ro/icstcc201249. Workshop on Intelligent Decision Support Systems for Crisis Management, May 8-12, 2012, Bãile Felix, Oradea, Romania - http://icccc.univagora.ro/organization/program-committee50. Amsterdam - IEEE/ACM International Symposium on Nanoscale Architectures - NANOARCH '12, July 4-6 2012

113

Page 114: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

51. 11-th International Conference on Web-based Learning (ICWL 2012 - http://www.hkws.org/conference/icwl2012/), Sinaia, Romania, 2-4 September 201252. PDCS-2012 - Las Vegas, SUA, November 12-14 201253. HPCS-2012 – Madrid, Spania, July 2-6, 201254. Second International Conference on Innovative Computing Technology (INTECH 2012), Rabat, Morocco from September 18-20, 2012 - http://www.dirf.org/intech/committee.asp 55. ARCS-2013 – Prague, Czech Republic, February 19-22 201356. 19-th International Conference on Control Systems and Computer Science (CSCS-19), Bucharest, Romania, May 29 to May 31, 2013 - http://cscs19.acs.pub.ro/committee.html 57. 17-th International Conference on System Theory, Control and Computing – ICSTCC 2013, Sinaia Palace Hotel, ROMANIA, October 11 to October 13, 2013 - http://ace.ucv.ro/icstcc2013/committees.php58. The 6th International Conference on Database Theory and Application (DTA 2013) November 21 - 23, 2013 at Jeju National University International Center, Jeju Island, Korea, v. http://www.conferen.org/DTA2013/comm.php59. International Symposium on Intelligent Distributed Computing, IDC 2013, Prague, Czech Republik, September 4-6, 2013, http://www.ksi.mff.cuni.cz/IDC2013/pc.html60. 6-th International Conference on Security for Information Technology and Communication, 25-26 June 2013, Bucharest, Romania - http://www.secitc.eu/61. The 2013 International Conference on High Performance Computing & Simulation, HPCS 2013 - http://hpcs2013.cisedu.info/, Helsinki, Finland, July 01-05 201362. The 5th International Conference on Advanced Science and Technology, April 26-27 2013 at Hiddenbay Hotel, Yeosoo, South Korea, http://www.conferen.org/AST2013/#es63. New York City, July 15-17 2013 - IEEE/ACM International Symposium on Nanoscale Architectures – v. http://www.nanoarch.org/13/index.html 64. Organizator FP7 European Network of Excellence on High Performance and Embedded Architecture and Compilation (HiPEAC) Workshop Sibiu 1-3 aprilie 2013 cu participare internationala (Prof. Koen de Bosschere - Ghent Univ., Prof. Rainer Leupers – Aachen Univ., Prof. Kostas Magoutis - FORTH Greece) si nationala - Nicolae Țăpuș (UP București), Sergiu Nedevschi (UT Cluj-Napoca), Lucian Vințan (ULB Sibiu), Alexandru Amaricai și Marius Marcu (UP Timișoara) - http://csac.ulbsibiu.ro/stiri.php?obj_id=58; I am a HiPEAC member - see https://www.hipeac.net/~lucian.vintan/ 65. “Hypergrid” Session Chair, 10th International Conference on Intelligent Computer Communication and Processing (ICCP 2013), Cluj-Napoca, September 5 - 7, 2013, v. http://www.iccp.ro/iccp2013/index.php/technical-program.html66. 11-th European Dependable Computing Conference - EDCC 2014, Newcastle upon Tyne, UK, May 13-16, 2014, v. http://conferences.ncl.ac.uk/edcc2014/67. 27th INTERNATIONAL CONFERENCE ON ARCHITECTURE OF COMPUTING SYSTEMS - ARCS 2014, February 25 - February 28, 2014, University of Luebeck, Germany – see http://www.arcs2014.iti.uni-luebeck.de/68. International Symposium on Intelligent and Distributed Computing IDC 2014, Madrid, Spain – see http://aida.ii.uam.es/IDC2014/pc.php, September 3-5 201469. First International Workshop on Multi-Objective Many-Core Design (MOMAC 2014), held in conjunction with ARCS 2014 in Luebeck, Germany, February 25-28 2014, v. http://www12.cs.fau.de/momac/70. 6-th International Conference on Intelligent Networking and Collaborative Systems (INCos), Salerno, Italy, September 10-12 2014, v. http://voyager.ce.fit.ac.jp/conf/incos/2014/committees.html

114

Page 115: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

71. 18-th Joint International Conference on System Theory, Control and Computing – ICSTCC 2014, October 2014 in Sinaia (Romania), v. http://www.ace.tuiasi.ro/icstcc2014/organization.html72. The Seventh Edition of the International Conference on Knowledge Science, Engineering and Management - KSEM 2014, 16-18 October 2014, Sibiu, Romania, v. http://conferences.ulbsibiu.ro/ksem2014/index.html73. Fifth International Conference on the Applications of Digital Information and Web Technologies (ICADIWT) (co-sponsored by IEEE COMSOC), Amrita University, Bangalore, India, February 17-19, 2014. Please see the details at http://www.socio.org.uk/icadiwt/index.php74. 10th ACM/IEEE International Symposium on Nanoscale Architectures (NANOARCH 2014), Paris, France, July 8-10, 2014 (http://www.nanoarch.org/14/index.html)75. Zilele Academiei de Ştiinţe Tehnice din România, editia a 9-a, Universitatea “Lucian Blaga” din Sibiu, 6-7 noiembrie 2014, v. http://www.astr.ro/activitati-si-evenimente/zilele-academiei-de-Ştiinţe-tehnice-din-românia-201476. The 2nd International Conference on Computer Applications in Education (ICCAE), Chicago, Illinois, USA, October 24-25 2014, v. http://www.ic-cae.org/2014/OrganizingCommittee.aspx77. The 4th International Conference on Electronics, Communications and Networks (CECNet 2014), Beijing, China, December 12-15 2014, v. http://www.cecnetconf.org/committee.html 78. The 7th International Conference on Database Theory and Application (DTA 2014), December 20-23 2014, Hinan Island, China, v. http://www.conferen.org/DTA2014/comm.php79. The 6th International Conference on Advanced Science and Technology (AST), February 18 - 20, 2014, Qingdao, China, v. http://sport.aliexirs.ir/AST-Conferen.html80. Session - Intelligent Systems 3 Chair, 10th International Conference on Intelligent Computer Communication and Processing (ICCP 2014), Cluj-Napoca, September 4 - 6 2014, v. http://www.iccp.ro/iccp2014/index.php/technical-program.html81. "Distributed and Parallel Computing" track of The 17-th IEEE International Conference on Computational Science and Engineering (CSE2014), Chengdu, China, December 19-21, 2014, v. http://umc.uestc.edu.cn/conference/CSE2014/CSE_techcommittee.php82. 2015 Spring World Congress on Engineering and Technology (SCET 2015), April 14-16, 2015, Beijing, China, v. http://www.engii.org/scet2015/ShowOrganizerDetails.aspx?personID=385783. The 28th International Conference on the Architecture of Computing Systems (ARCS), March 24th to 27th, 2015, Porto, Portugal, v. http://www.cister.isep.ipp.pt/arcs2015/org84. The 20-th International Conference on Control Systems and Computer Science (CSCS20-2015), Bucharest, 27-29 May 2015, v. http://cscs20.acs.pub.ro/committees-2/85. 7-th Balkan Conference in Informatics – BCI 2015, 2-4 September 2015, Craiova, Romania, v. http://bci2015.bci-conferences.org/pc.html86. Second International Workshop on Multi-Objective Many-Core Design (MOMAC 2015), held in conjunction with ARCS 2015 in Porto, Portugal, March 24-27 2015, v. https://www12.informatik.uni-erlangen.de/momac/87. 19-th Joint International Conference on System Theory, Control and Computing – 5, October 2015, Cheile Gradistei (Romania), v. http://www.aie.ugal.ro/committeeicstcc/programcommittee

115

Page 116: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

88. IEEE Technically Co-Sponsored Science and Information Conference, UK, London, July 28-30 2015, v. http://thesai.org/SAIConference2015/Committees89. 12-th European Dependable Computing Conference - EDCC 2015, Paris, France, September 9-11, 2015, v. http://edcc2015.lip6.fr/committees.html 90. International Workshop on Autonomic Distributed Systems (ADiS-2015), in conjunction with the CISIS 2015 conference (http://voyager.ce.fit.ac.jp/conf/cisis/2015/), July 8-10, 2015, Regional University of Blumenau (FURB), Blumenau, Brazil; v. http://voyager.ce.fit.ac.jp/conf/cisis/2015/committee.html91. 7-th International Conference on Intelligent Networking and Collaborative Systems (INCos), Taipei, Taiwan, September 2-4 2015, v. http://voyager.ce.fit.ac.jp/conf/incos/2015/committees.html92. The Eighth International Conference on Dependability, August 23 - 28, 2015 - Venice, Italy, see http://www.iaria.org/conferences2015/DEPEND15.html93. Workshop on Next Generation Systems for Mobile and Cloud Computing, in conjunction with 3PGCIC 2015, November 4-6, 2015, Krakow, Poland (http://new-mcc.hpc.pub.ro/; http://new-mcc.hpc.pub.ro/people/)94. SECITC 2015 International Conference, 11-12 June 2015, Bucharest, v. http://www.secitc.eu/about/conference-committees_old/95. International Symposium on Intelligent and Distributed Computing IDC 2015, Guimaraes, Portugal – see http://islab.di.uminho.pt/idc2015/committees.php, October 7-9 201596. "Distributed and Parallel Computing" track of the CSE-2015 conference, The 18th IEEE International Conference on Computational Science and Engineering (CSE2015), Porto, Portugal, October 21-23 2015, v. http://paginas.fe.up.pt/~specs/events/cse2015/97. 11th ACM/IEEE International Symposium on Nanoscale Architectures (NANOARCH 2015), Boston, USA, July 8-10, 2015 (http://www.nanoarch.org/15/committee.html)98. Workshop on Tools and Technologies in Statistics, Machine Learning and Information Retrieval for Educational Data Mining "SMLIR", in conjunction with EDM 2015 June 26, 2015 - June 29, 2015, UNED, Madrid, Spain, v. https://sites.google.com/site/smlir2015edm/home99. 8-th International Conference on Knowledge Science, Engineering and Management (KSEM), October 28-30, 2015, Chongqing, China (http://www.swu.edu.cn/english/)100. 11th International Conference on Intelligent Computer Communication and Processing (ICCP 2015), Cluj-Napoca, September 3 - 5 2015, v. http://www.iccp.ro/iccp2015/index.php/program-committee.html101. The 14-th IEEE RoEduNet International Conference, Craiova, September 24-26, 2015, v. http://conference.roedu.net/index.php/roedunetconf/2015/schedConf/cfp102. The 8-th International Conference on Database Theory and Application (DTA 2015), November 25-28 2015, Jeju Island, Korea, v. http://www.conferen.org/DTA2015/comm.php 103. The 5-th International Conference on Electronics, Communications and Networks (CECNet 2015), Shangai, China, December 12-15 2015, v. http://www.cecnetconf.org/Committee%20Programs.html104. The 3rd International Conference on Computer Applications in Education (ICCAE), Nanjing, China, October 17-18 2015, v. http://www.as-se.org/conf/iccae2015/Organizing.aspx105. The 29-th International Conference on the Architecture of Computing Systems (ARCS 2016), Nurnberg, Germany, v. http://www3.cs.fau.de/arcs2016/committees.php 106. 13-th European Dependable Computing Conference - EDCC 2016, Gothenburg, Sweden, in September 2016, v. http://www.edcc2016.eu/

116

Page 117: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

107. 13-th International Conference on Parallel and Distributed Computing and Networks (PDCN 2016), Innsbruck, Austria, February 15 to February 17, 2016, v. http://www.iasted.org/conferences/ipc-834.html108. 20-th Joint International Conference on System Theory, Control and Computing (ICSTCC) – 14-16 October 2016, Sinaia (Romania), v. http://ace.ucv.ro/icstcc2016/committees.php 109. 9-th International Conference on Educational Data Mining and Science, June 29 - July 2, 2016, Raleigh, North Carolina, USA, v. http://www.educationaldatamining.org/EDM2016/committees.html 110. Grid and P2P Distributed Infrastructure for Intelligent Networking and Collaborative Systems, co-organizing the track with the INCoS-2016 conference, Technical University of Ostrava, Czech Republic, September 2 - 4, 2016, v. http://voyager.ce.fit.ac.jp/conf/incos/2016/committees.html111. 7-th International Workshop on Autonomic Distributed Systems (ADiS 2016), in conjunction with CISIS-2016 conference, Fukuoka, Japan, July 6th - July 8th, 2016, v. http://adis.hpc.pub.ro/people/ 112. 2016 International Symposium on INnovations in Intelligent SysTems and Applications (INISTA), 2-5 August 2016, in Sinaia, Romania, v. http://www.software.ucv.ro/inista/pc.html 113. 2nd Workshop on Tools and Technologies in Statistics, Machine Learning and Information Retrieval for Educational Data Mining - "SMLIR", in conjunction with the 12-th IFIP International Conference on Artificial Intelligence Applications and Innovations (AIAI 2016), 16-18 September Thessaloniki, Greece, v. https://sites.google.com/site/smlir2016aiai/home-1114. 9-th International Conference on Knowledge Science, Engineering and Management (KSEM 2016), University of Passau, Germany, 5-8 October 2016, v. http://ksem2016.org/wordpress/general-information/program-committee/115. Third International Workshop on Multi-Objective Many-Core Design (MOMAC 2016), held in conjunction with ARCS 2016, Nuremberg, Germany, April 4-6, 2016, v. https://www12.cs.fau.de/momac/index.html#comittee116. 11-th IEEE International Symposium on Applied Computational Intelligence and Informatics - SACI 2016, Timisoara, Romania, May 12-14 2016, v. http://conf.uni-obuda.hu/saci2016/committees.html, v. https://www.researchgate.net/publication/306063769_Electric_vehicles'_battery_parameter_tolerances_analysis_by_fuzzy_logic 117. Future Technologies Conference (FTC) 2016, San Francisco, United States, 6-7 December 2016, v. http://www.saiconference.com/FTC2016/Committees; The conference management system is available at: https://cmt3.research.microsoft.com/FTC2016/ (5 reviews)118. International Symposium on Intelligent and Distributed Computing (IDC 2016), Paris, France, October 10-12 2016 – v. http://idc2016.lip6.fr/committees.html119. 10-th edition of HiPerGRID, Special Session on High Performance Grid Middleware, in conjunction with ICCP 2016, September 8 – 10, 2016, Cluj-Napoca, Romania, v. http://hipergrid.hpc.pub.ro/?page_id=27 120. 2-nd International KEYSTONE Conference, IKC 2016, Cluj-Napoca Romania, 8-9 September 2016, v. http://www.keystone-cost.eu/ikc2016/research.php (in meniul Conference/Structure - Research Track)121. 12th International Conference on Intelligent Computer Communication and Processing (ICCP 2016), Cluj-Napoca, September 8 - 10 2016, v. http://www.iccp.ro/iccp2016/index.php/program-committee.html

117

Page 118: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

122. Spring World Congress on Engineering and Technology (SCET 2016), April 17-19, 2016, Suzhou, China, v. www.engii.org/scet 2016 (site devenit inaccesibil?)123. The 9-th International Conference on Dependability, July 24 - 28, 2016 - Nice, France, v. http://www.iaria.org/conferences2016/ComDEPEND16.html 124. The 9-th International Conference on Database Theory and Application (DTA 2016), Jeju Island, Korea, December 21-23, 2016, v. http://www.conferen.org/DTA2016/comm.php 125. The 6-th International Conference on Electronics, Communications and Networks (CECNet 2016), Macau, China, December 11-14, 2016, v. http://www.cecnetconf.org/CommitteePrograms.html 126. 12th ACM/IEEE International Symposium on Nanoscale Architectures (NANOARCH 2016), Beijing, China, July 18-20, 2016, v. http://www.nanoarch.org/16/committee.html127. International Conference on Digital Technologies, and Innovation for Science and Society (ICDISS), Bangkok, Thailand, July 01-03, 2016, v. http://dirf.org/icdtss/program-committees/128. International Conference on Advanced Science and Technology (AST), Haikou, China, January 13-16 2016, v. http://www.conferen.org/AST2016/, http://onlinepresent.org/proceedings/vol121_2016/Organization.pdf 129. The 4th International Conference on Computer Applications in Education (ICCAE), Yichang, China, September 17-18 2016, v. http://www.as-se.org/conf/iccae2016/TPC.html130. 10th IEEE 2016 International Conference on Open Source Systems & Technologies, ICOSST 2016, Lahore, Pakistan, 15-17 December 2016, v. http://icosst.kics.edu.pk/2016/main/tech_prog 131. The 30-th International Conference on the Architecture of Computing Systems (ARCS 2017), Vienna, Austria, 3-7 April, 2017, v. https://capp.itec.kit.edu/conferences/arcs17/committees.php, http://arcs2017.itec.kit.edu/?p=2 132. 2017 International Symposium on INnovations in Intelligent SysTems and Applications (INISTA), 3-5 July 2017, Gdynia, Poland, v. http://inista.org/program-committee.php 133. The 11-th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2017), Cloud Computing and Service-Oriented Applications" track, from June 28-th to June 30-th, 2017 at Istituto Superiore Mario Boella (ISMB), Torino, Italy, v. http://voyager.ce.fit.ac.jp/conf/imis/2017/committee.php 134. 21-st Joint International Conference on System Theory, Control and Computing (ICSTCC), October 2017, Sinaia (Romania), v. http://www.icstcc2017.ac.tuiasi.ro/committees/international-program-committee/ 135. The 21st International Conference on Control Systems and Computer Science (CSCS21-2017), University Politehnica of Bucharest, 29 - 31 May 2017, v. http://cscs21.hpc.pub.ro/committees/?doing_wp_cron=1483462533.9569089412689208984375136. The 11-th International Symposium on Intelligent and Distributed Computing (IDC 2017), Belgrade, Serbia, October 11 - 13 2017 – v. http://idc2017.pmf.uns.ac.rs/committees.php 137. 10th International Conference on Educational Data Mining (EDM 2017), Wuhan, China, June 25-28 2017, v. http://educationaldatamining.org/EDM2017/committees/138. International Symposium on Multidisciplinary Studies and Innovative Technologies, Gaziosmanpaşa University Tokat / Turkey November 2-4, 2017, v. http://www.ismsitconf.org/index.php?go=committe; I received a Certificate of Appreciation.139. Third International Symposium on Intelligent Systems Technologies and Applications (ISTA'17), September 13-16, 2017, Manipal, India, v. http://www.acn-conference.org/ista2017/committee.html

118

Page 119: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

140. 10-th International Conference on Knowledge Science, Engineering and Management (KSEM 2017), Melbourne, Australia on August 19-20, 2017, v. http://www.ksem2017.conferences.academy/program_committee.html141. Workshop on Smart Services for Edge and Cloud Computing (SSeCC 2017), Bucharest, Romania, May 29-31, 2017, see: http://ssecc.hpc.pub.ro/people/ 142. Future Technologies Conference (FTC) 2017, 29-30 November, 2017 in Vancouver, BC, Canada, v. http://saiconference.com/FTC2017/Committees 143. 13th ACM/IEEE International Symposium on Nanoscale Architectures (NANOARCH 2017), Newport, Rhode Island, USA, July 25-26, 2017, v. http://www.nanoarch.org/17/committee.html 144. 13th IEEE International Conference on Intelligent Computer Communication and Processing (ICCP 2017), Cluj-Napoca, September 7 - 9 2017, v. http://www.iccp.ro/iccp2017/index.php/program-committee.html145. Grid and P2P Distributed Infrastructure for Intelligent Networking and Collaborative Systems (Track 3), co-organizing the track with the 9th INCoS-2017 conference, Ryerson University, Canada, August 24 - 26, 2017, v. http://voyager.ce.fit.ac.jp/conf/incos/2017/information.html146. Future of Information and Communication Conference (FICC), Singapore, 5-6 April 2018, v. http://saiconference.com/FICC2018/Committees147. International Conference on Control Science and Intelligent Manufacturing(ICCSIM 2018 http://www.iccsim.org/), January 26-28, 2018148. The First International Conference on Microelectronic Devices and Technologies (MicDAT’ 2018), 20-22 June 2018, Barcelona, Spain149. The 31st International Conference on the Architecture of Computing Systems (ARCS 2018), Braunschweig, Germany, 2018, v. http://arcs2018.itec.kit.edu/?p=2 150. 15-th European Dependable Computing Conference - EDCC 2018, Iasi, Romania, 10th

-14th September 2018, v. http://edcc2018.info.uaic.ro/committees.html 151. International Symposium on INnovations in Intelligent SysTems and Applications (INISTA), 3-5 July 2018, Thessaloniki, Greece, v. http://inista.org/committees.html152. International Joint Conference on Robotics and Artificial Intelligence(JCRAI 2018 http://www.jcrai.org/portrait%20.html) December 10-12, 2018, Wellington, New Zealand (WoS, Scopus) – recenzie e-mail 28.03.2018.153. 11th International Conference on Educational Data Mining (EDM 2018), Buffalo, NY, July 15-18 2018, v. http://educationaldatamining.org/EDM2018/committee/154. IEEE-TTTC International Conference on Automation, Quality and Testing, Robotics (AQTR 2018), Cluj-Napoca,Romania, 24-26 May 2018, v. http://www.aqtr.ro/155. 14th IEEE International Conference on Intelligent Computer Communication and Processing (ICCP 2018), Cluj-Napoca, September 6 - 8 2018, v. http://www.iccp.ro/iccp2018/index.php/program-committee.html 156. 12-th IEEE International Symposium on Applied Computational Intelligence and Informatics - SACI 2018, Timisoara, Romania, May 17-19 2018, v. http://conf.uni-obuda.hu/saci2018/committees.html 157. 11-th International Conference on Knowledge Science, Engineering and Management (KSEM 2018), Changchun, China on August 17-19, 2018, v. http://ksem2018.venue.link/index.php?c=article&a=type&tid=46 158. International Symposium on Multidisciplinary Stuidies and Innovative Technologies, Kızılcahamam, Ankara, Turkey, October 19-21 2018, v. v. http://www.ismsitconf.org/?go=002

119

Page 120: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

159. Ist International Symposium on Recent Advances in Vocational Sciences, Kemer-Antalya-Turkey, April 11-13, 2018 v. http://www.isassymposium.org/index.php?lang=eng&go=committe 160. 14th ACM/IEEE International Symposium on Nanoscale Architectures (NANOARCH 2018), Athens, Greece, 2018, v. http://nanoarch.org/#tech_committee 161. Future Technologies Conference (FTC) 2018, 15-16 November, 2018 in Vancouver, BC, Canada, v. https://saiconference.com/FTC2018/Committees162. Future of Information and Communication Conference (FICC), San Francisco, USA, 14-15 March 2019, v. http://saiconference.com/FICC2019/Committees 163. The 32nd International Conference on the Architecture of Computing Systems (ARCS 2019), Copenhagen, Denmark, May 20-23 2019, v. http://arcs2019.itec.kit.edu/?p=2 164. The Computer Vision Conference (CVC), 2-3 May 2019, Las Vegas, Nevada, USA, v. http://saiconference.com/CVC2019/Committees 165. The 6-th edition of the International Conference on Control, Decision and Information Technologies (CoDIT'19), France (Paris), April 20-23, 2019, v. http://www.codit19.com/index.php/committees 166. 12-th International Conference on Educational Data Mining (EDM 2019), Montreal, July 2-5 2019, v. http://educationaldatamining.org/edm2019/committee/ 167. The 22nd International Conference on Control Systems and Computer Science (CSCS22-2019), University Politehnica of Bucharest, 28 - 30 May 2019, v. https://cscs22.hpc.pub.ro/committees/ 168. The 13-th International Symposium on Intelligent and Distributed Computing (IDC 2019), St. Petersburg, Russia, October 7 - 9 2019, v. https://idc2019.ru/committees.html 169. International Symposium on INnovations in Intelligent SysTems and Applications (INISTA), 3-5 July 2019, Sofia, Bulgaria, v. http://www.inista.org/committees.html 170. 15th IEEE International Conference on Intelligent Computer Communication and Processing (ICCP 2019), Cluj-Napoca, September 5 - 7 2019, v. http://www.iccp.ro/iccp2019/index.php/program-committee.html 171. 15th ACM/IEEE International Symposium on Nanoscale Architectures (NANOARCH 2019), Qingdao, China, 17-19 July 2019, v. http://nanoarch.org/ 172. International Joint Conference on Robotics and Artificial Intelligence(JCRAI 2019 http://www.jcrai.org/commit.html) November 22-24, 2019, Prague, Czech Republic (WoS, Scopus) – solicitare review e-mail 09.04.2019.173. 12-th International Conference on Knowledge Science, Engineering and Management (KSEM 2019), Athens, Greece, August 28-30, 2019, v. https://ksem2019.unipi.gr/committee.html 174. 2-nd International Conference on Control and Robots (ICCR), December 12-14, 2019, in Jeju Island, Korea, v. http://www.iccr.net/committee.html 175.

Distincții, premii, onoruri

Ales MEMBRU TITULAR al "ACADEMIEI DE ȘTIINȚE TEHNICE DIN ROMÂNIA" - Secția "Calculatoare, Tehnologia Informatiei si Comunicatiilor". În cadrul Adunării Generale (A.G.) din 6 iulie 2012; In A.G. din 21 aprilie 2005 fusesem ales membru corespondent. Calitatea de membru al Academiei se păstrează pe viață. V. DIPLOMA de membru titular; v. http://www.astr.ro/prof-univ-dr-ing-lucian-nicolae-vintan/.

PREMIUL "TUDOR TĂNĂSESCU" al ACADEMIEI ROMÂNE , decernat în

120

Page 121: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

decembrie 2005, pt. lucrarea intitulată "Simularea și optimizarea arhitecturilor de calcul în aplicații practice", Editura Matrix Rom, București, 2003 (443 pg. + CD atașat conținând o platformă complexă de simulare-optimizare a unor microarhitecturi avansate, dezvoltată de autori; co-autor dr. ing. A. Florea) – v. http://www.acad.ro/premiileAR/liste/2003.pdf.

Titlul onorific de "Visiting Research Fellow" la Universitatea din Hertfordshire (UH), Marea Britanie, obtinut în anul 2002; "I am pleased to confirm the title of Visiting Research Fellow. The title is intended to recognise your ongoing collaboration with our research activities." - Professor J. M. Senior, PhD, Dean of the Faculty of Engineering and Information Sciences, UH (April 2003) – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/UH.pdf; v. http://homepages.feis.herts.ac.uk/~ctca/.

Diploma Universității "Lucian Blaga" din Sibiu (ULBS) pentru "competență academică deosebită și servicii excepționale aduse" (1999, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/D_1999.jpg) respectiv Diploma și Medalia ULBS (cu efigia "Lucian Blaga") pentru merite academice, cu prilejul aniversarii a 220 de ani de invatamant superior sibian (2005, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/D_2005.jpg). Diploma de excelență pentru activitatea stiințifică desfașurată în anul 2012 (http://webspace.ulbsibiu.ro/adrian.florea/html/images/Diploma_excelenta.jpg), Facultatea de inginerie, ULBS. „Diploma de excelență pentru activitatea științifică meritorie desfășurată în anul 2013”, Facultatea de inginerie, Universitatea „Lucian Blaga” din Sibiu, 19 decembrie 2013. Distincții analoage au mai fost obținute în anii 2015, 2016, 2018 etc. A se vedea http://webspace.ulbsibiu.ro/lucian.vintan/html/D_2013.jpg;

http://webspace.ulbsibiu.ro/lucian.vintan/html/D_2015.jpg; http://webspace.ulbsibiu.ro/lucian.vintan/html/D_2016.jpg;

http://webspace.ulbsibiu.ro/lucian.vintan/html/D_2018.jpg (pentru publicarea unei lucrări într-o revistă clasificată Q1 și a altor două lucrări în reviste clasificate Q2 - Clarivate Analytics - , pe anul 2018) etc.

Un premiu național, Concursul național studențesc de matematică "Traian Lalescu", faza națională, Brașov, 1984. Președintele concursului a fost Acad. Nicolae Teodorescu, care mi-a înmânat premiul. Din comitetul concursului a făcut parte și prof. univ. dr. Octavian Stănășilă. Alte două premii la nivelul centrului universitar Timișoara (Concursul de matematică "Traian Lalescu" - Premiul II și Sesiunea de comunicări științifice studențești - matematică, Premiul III, 1984); V. http://webspace.ulbsibiu.ro/lucian.vintan/html/diploma2.bmp; http://webspace.ulbsibiu.ro/lucian.vintan/html/diploma1.bmp; http://webspace.ulbsibiu.ro/lucian.vintan/html/diploma3.bmp

Expert activ al Comisiei Europene în domeniul "Computing Systems" (din 2005-prezent). În această calitate am fost implicat în evaluarea și monitorizarea a zeci de proiecte europene de cercetare științifică (v. detalii în continuare.)

Premiere CNCSIS pentru lucrările publicate în reviste cotate ISI (Thomson Reuters WoS) B57, B58, B59 (2009), premiere UEFISCDI („Premierea rezultatelor cercetarii”) pentru articolul B80 publicat într-o revista cotată Thomson Reuters / Clarivate Analytics „de prestigiu din fluxul ştiinţific principal international” (cf. UEFISCDI, nov. 2015), B94 (cf. UEFISCDI, octombrie 2016), B101, B102 (2018), B105 (2019) etc.

Best Paper Award la Conferinta Internațională IEEE RoEduNet 2010

121

Page 122: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Membru al juriului internațional, într-o companie de mare prestigiu (ex. Prof. Yale Patt sau Prof. Trevor Mudge - Eckert Mauchly Award), la Branch Prediction Championship 2011 organizat de compania INTEL în cadrul conferintei ISCA-2011 (cea mai prestigioasă conferință, la nivel mondial, în domeniul arhitecturilor de calcul)

Medalia jubiliară a Academiei de Științe Tehnice din România (2012), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/M.JPG

Diploma de excelență a Editurii Matrix Rom Bucuresti "ca recunoastere a contribuției aduse la dezvoltarea patrimoniului literar științific românesc.", februarie 2013

Premiul “Profesor Bologna” (diploma si medalie), Alianța Națională a Organizațiilor Studențești din România (ANOSR), ASE Bucuresti, 10 mai 2014, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/PB.jpg

Diploma de excelență “in semn de pretuire pentru contributia adusa la dezvoltarea domeniului de Calculatoare si tehnologia informatiei”, Universitatea Politehnica din Bucuresti, Facultatea de Automatica si Calculatoare, 12.12.2014, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/pub.jpg

Placheta aniversară “Microcalculatorul Cobra - 30 de ani” și Diploma “pentru aportul de înalt nivel academic în realizarea specializării de ingineria calculatoarelor la Universitatea Transilvania din Brașov”, 10.10.2016, Universitatea “Transilvania” din Brașov și “Asociația Cobra de educație în ingineria calculatoarelor” (Prof. univ. dr. ing. Gh. Toacșe), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/D_Bv.jpg

Diploma aniversară (semicentenarul primei școli superioare de calculatoare din Romania), Universitatea Politehnica din Timișoara (2016), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/UPT.jpg; Diploma jubiliară, Universitatea din Pitești, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/D_Pit.jpg (2016)

Implementări în (multe milioane de) microprocesoare comerciale de mare performanță ale ideii de predictor neuronal de branch -uri - Oracle Sparc T4-4 (2011), AMD Bulldozer (2011), AMD Piledriver (2012), AMD Bobcat/Jaguar (2014), Samsung Exynos M1 (2016), AMD Zen (2016/2017) și AMD Ryzen (2017), IBM z14 (2017) etc., concept introdus de subsemnat în premieră mondială în anul 1999 (Conferința IJCNN, Washington DC), cu peste 100 de citări internaționale: v. https://www.linkedin.com/pulse/neural-branch-prediction-lucian-vințan?articleId=6233709813646397440#comments-6233709813646397440&trk=prof-post sau http://webspace.ulbsibiu.ro/lucian.vintan/html/Impact.docx.

Medalia aniversară a Academiei de Științe Tehnice din România și Diplomă „pentru realizări deosebite în domeniul tehnico-științific și pentru activitatea depusă în cadrul Academiei.”, Adunarea generală extraordinară a Academiei de Științe Tehnice din România, 03.11.2017, București

International Doctoral Committee Member (professors from The Netherlands, France, Spain and Romania), Delft University of Technology (Technische Universiteit Delft), The Netherlands (November 2018). Picture 1 Picture 2 Picture 3

I. ALTE FUNCȚII ÎNDEPLINITE

122

Page 123: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

Expert independent al Comisiei Europene (EC) în domeniul științei și ingineriei calculatoarelor – v. https://www.google.com/search?ei=vvz7XNH4HuWgrgS3z5vwCA&q=%22Most+Recent+Employer+Country%22+%22vintan%22&oq=%22Most+Recent+Employer+Country%22+%22vintan%22&gs_l=psy-ab.3..33i160.69517.73082..73522...0.0..0.185.1315.0j9......0....1..gws-wiz.Q1tBcjRJ0_k sau documentul EC_RIA_Experts.xlx (folder Curric_Vitae). Activități de evaluare științifică:

Evaluare științifică, în calitate de expert al Comisiei Europene, a proiectului de cercetare FP6/FP7 "High Performance Embedded Architectures and Compilers" (HiPEAC 2005-2012). Ședințe de evaluare la L’Aquila, Italia, iulie 2005 si 2006; Barcelona, Spania, noiembrie 2005 si 2007, martie 2009; Ghent, Belgia, noiembrie 2006; Brussels, iunie 2007, octombrie 2008, iunie 2010, mai 2011; Paphos, Cipru, ianuarie 2009; Munchen, iunie 2009; Pisa, Italia, ianuarie 2010; Heraklion, Creta, ianuarie 2011; Goteborg, Suedia, aprilie 2012 – v. www.hipeac.net. Expert evaluator al Comisiei Europene pentru programe de cercetare științifică. Evaluări ale programelor de cercetare științifică: EC FP7-ICT-Call-1, STREPs Evaluation, Computing Systems, 18-22 iunie 2007, Comisia Europeana, Brussels; Expert evaluator al EC FP7-ICT Evaluation, Computing Systems, 25-29 Mai 2009, Comisia Europeana (CE), Brussels; Expert evaluator al EC FP7-FET Open, 6-10 iulie 2009, CE Brussels; Expert evaluator FP7-ICT Call 7 FP7-ICT Computing Systems, 7-12 martie, 2011, Comisia Europeana, Brussels; FP7-ICT-2013.3.4 Advanced computing, embedded and control systems, February 25-March 1, 2013, European Commission (EC) Brussels; EC Evaluator in the remote phase of the evaluation of the Call 2014 'NOVEL IDEAS FOR RADICALLY NEW TECHNOLOGIES' (H2020-FETOPEN-2014) - proposals of Research and Innovation Actions – v. http://ec.europa.eu/research/participants/portal/desktop/en/opportunities/h2020/calls/h2020-fetopen-2014-2015-ria.html), November 2014 (am evaluat 4 propuneri); European Commission Evaluator in the remote phase of the evaluation of the H2020-FETOPEN-2014-2015-RIA_31-03-2015, v. http://ec.europa.eu/research/participants/portal/desktop/en/opportunities/h2020/topics/11052-fetopen-ria-2014-2015.html, May 1st - May 29th 2015 (am evaluat 7 propuneri); Expert al Comisiei Europene in calitate de Vice-Chair al Comisiei de evaluare științifică a unor proiecte de cercetare din cadrul programului HORIZON 2020 FET-OPEN - NOVEL IDEAS FOR RADICALLY NEW TECHNOLOGIES (v. http://ec.europa.eu/research/participants/portal/desktop/en/opportunities/h2020/calls/h2020-fetopen-2014-2015-ria.html, buget total 154 mil. Euro), în domeniul Computing Systems; Deplasare la Comisia Europeana de la Brussels 12-17.10.2015 (evaluări, selecții experți etc.) + coordonarea de la distanță în calitate de Vice-Chair, a evaluării a 11 proiecte, noiembrie-decembrie 2015; Expert al Comisiei Europene in calitate de evaluator-raportor al unor proiecte de cercetare din cadrul programului Horizon 2020, topic ICT-01-2019 “Computing technologies and engineering methods for cyber-physical systems of systems”- H2020-ICT-2019-2 (Deplasare la Comisia Europeana / Research Executive Agency de la Brussels, in perioada 16.06.209 - 22.06.2019, pentru meeting-uri de consens + evaluarea de la distanță a unor proiecte de cercetare științifică în perioada 29/04/2019 - 12/06/2019.)

1996 - 2012: Membru al Consiliului Facultatii de inginerie, Universitatea "Lucian Blaga" din Sibiu

1999 - 2002: prodecan al Facultății de inginerie (cercetare științifică), Universitatea "Lucian Blaga" din Sibiu

123

Page 124: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

2000 - 2008 & 2012-2020: Membru al Senatului Universitatii "Lucian Blaga" din Sibiu

2004 - prezent: Director al "Centrului de cercetare pentru arhitecturi avansate de procesare a informației" (CCAAPI) din cadrul Universității "Lucian Blaga" din Sibiu - a se vedea http://acaps.ulbsibiu.ro/ (Decizia Rectorului ULBS nr.260/06.10.2004; Decizie Senat ULBS 26.02.2014)

2000 - prezent: profesor universitar (Ord. Ministrului MEdC nr.3772/10.05.2000 / Decizia ULBS nr. 174/15.05.2000), Universitatea "Lucian Blaga" din Sibiu, Facultatea de inginerie, Dept. de Calculatoare & Inginerie Electrică, disciplinele: "Sisteme cu microprocesoare", "Simularea si optimizarea arhitecturilor de calcul", "Arhitecturi avansate", "Research Methods in Advanced Computing Architectures" (Master de cercetare)

2001 - prezent: primul conducător de doctorat în domeniul "Știința calculatoarelor"/"Calculatoare și tehnologia informației" la Universitatea "Lucian Blaga" din Sibiu (Ord. Ministrului MEdC nr.5288/28.12.2001 – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/OM.pdf; reconfirmat prin O.M. nr. 1805/20.08.2007). 5 foști doctoranzi au obținut titlul de doctor până în anul 2011, fiind primii 5 doctori în domeniul "Științei calculatoarelor" care au absolvit doctoratul la Universitatea "Lucian Blaga" din Sibiu.

2012 - 2015: conducător de doctorat în domeniul "Calculatoare și tehnologia informației" la Universitatea Tehnica din Cluj-Napoca (Ordinul Ministrului MEdCTS nr. 3499/12.03.2012).

"Visiting Researcher" (cercetător invitat) la Universitatea din Hertfordshire, Marea Britanie (1996, 3 luni, am activat in grupul de arhitecturi avansate de procesare a informatiei condus de catre prof. dr. Gordon B. Steven; am lucrat aici la proiectul HSA - Hatfield Superscalar Architecture)

"Visiting Researcher" la Universitatea din Augsburg, Germania - cercetari in domeniul "context prediction in ubiquitous computing" (2003, 3 luni, finantare prin DAAD NATO – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/DAAD.jpg, Germania, am lucrat in Institutul de cercetari al profesorului Theo Ungerer la proiectul "Smart Doorplates" - a se vedea pt. detalii http://www.informatik.uni-augsburg.de/lehrstuehle/info3/research/ sau https://www.informatik.uni-augsburg.de/en/chairs/sik/research/finished/smartdoorplate/)

Referent al revistelor stiintifice de specialitate (selectiv): "IEEE Transactions on Computers" (2008 TC Reviewers List, IEEE Transactions on Computers, vol. 58, no. 1, pp. 139-144, Jan. 2009, doi:10.1109/TC.2009.1 - http://www.computer.org/plugins/dl/pdf/trans/tc/2009/01/ttc2009010139.pdf?template=1&loginState=1&userData=anonymous-IP%253A%253AAddress%253A%2B188.24.214.17%252C%2B%255B172.16.161.5%252C%2B188.24.214.17%252C%2B127.0.0.1%255D), "IEE Proceedings. Computer and Digital Techniques", Anglia (2001, 2003), Transactions on HiPEAC (din 2010),"International Journal of Computers, Communications & Control" (IJCCC, permanent), "Studies in Computational Intelligence (SCI). Advances in Intelligent and Distributed Computing", Volume 78, Springer-Verlag Berlin Heidelberg (2008), "Concurrency and Computation: Practice and Experience", John Wiley & Sons, Ltd.(#CPE-08-0006, 2008), ACM Transactions on Embedded Computing Systems (cotată TR WoS), ISSN:1539-9087 (recenzie articol TECS-2016-0144 „Integer Melody Search based DSE for MPSoCs”, 2016), Proceedings of The Romanian Academy: series A (recenzie articol “High Performance Charge Pump Circuit Design for RFID Transponder EEPROM”, 2017), Journal of Universal Computer Science

124

Page 125: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

(2018) etc.; Membru al Comitetului editorial al Buletinul Institutului Politehnic din Iasi, Automatic Control and Computer Science Section - v. http://www.ace.tuiasi.ro/index.php?page=678 etc.

Consultant oficial pe probleme de stiinta si ingineria calculatoarelor al companiei Siemens AG CT IC, Munchen, Germania (2002-2006, Prof. Dr.H.C. Hartmut Raffler, Siemens Vice-President)

Expert al Consiliului National pentru Cercetarea Stiintifica din Invatamantul Superior (CNCSIS) pentru cercetarea stiintifica universitara din domeniul "Stiinta Calculatoarelor" precum si pentru evaluarea granturilor din domeniile "Calculatoare & Tehnologia Informatiei" (2001 - prezent, v. http://www.cncsis.ro/panelev.php); Membru al grupului consultativ al Presedintelui CNCSIS (2003-2011)

2005 – prezent, expert activ al “Agenției române pentru asigurarea calității în învățământul superior” (ARACIS). Evaluări ale programelor de „Calculatoare și tehnologia informației” (master – licență) – Universitatea „Politehnica” București, UT Cluj-Napoca, Universitatea Politehnica „Gh. Asachi” Iași, Univ. București, Univ. „A. I. Cuza” Iași, Univ. „Babeș-Bolyai” Cluj-Napoca etc.

2006 - prezent, Membru in Comisia de “Calculatoare, tehnologia informatiei si ingineria sistemelor” din cadrul “Consiliului National de Atestare a Titlurilor, Diplomelor si Certificatelor Universitare” (CNATDCU), cf. OMEdC nr. 3904/10.05.2006, Anexa 3 – v. http://www.edu.ro/index.php/articles/5235; renumit cf. OMECTS nr. 3982/2011 – v. http://www.edu.ro/index.php/articles/15083, OMECTS nr. 5744/12.09.2012 (Comisia contestatii) - v. http://www.edu.ro/index.php/articles/17810, OMENCS 4.106/10.06.2016 (Anexa nr. 3) – v. http://www.edu.ro/index.php/articles/24513 (A avut loc un proces public de selecție a candidatilor, cu o concurență > 3.5 candidati / loc. Cf. OMENCS 4.106/10.06.2016, membrii CNATDCU “sunt personalități de prestigiu academic şi științific, cultural şi moral, recunoscute pe plan național/international”)

Co-editor al capitolului "Topic 4. High-Performance Architectures and Compilers" din volumul "Euro-Par 2007, Parallel Processing", 13-th International Euro-Par Conference, Rennes, France, August 28-31, 2007, Proceedings. Lecture Notes in Computer Science 4641 Springer 2007, ISBN 978-3-540-74465-8; conferinta de tip A in Computer Science Conference Rank - http://lipn.univ-paris13.fr/~bennani/CSRank.html

Prezentări ale subsemnatului în lucrările “Who’s Who in Romania”, Ediția princeps, Pegasus Press, Bucuresti, 2002; “Who’s Who in Science and Engineering, 2006-2007”, 9-th Edition, Marquis Who’s Who; “Enciclopedia personalitatilor din Romania”, Verlag fur Personenenzyklopedian AG, 2008; “Academia de Ştiinţe Tehnice din România. Monografie 1997-2009”, Editura AGIR, Bucureşti, 2010, “Facultatea de inginerie la 40 de ani”, Editura ULB Sibiu, 2016 etc.

Membru al Euro-Par Advisory Board - annual series of international conferences dedicated to the promotion and advancement of all aspects of parallel and distributed computing.

Membru al rețelei europene de excelență în arhitecturi de calcul HiPEAC (din 2012) – v. https://www.hipeac.net/~lucian.vintan/

Membru (președinte) în comisii de abilitare: conf. univ. dr. ing. Radu Gabriel DĂNESCU (2014, UT Cluj-Napoca; președinte), conf. univ. dr. ing. Radu-Daniel VATAVU (2014, UT Cluj-Napoca), prof. univ. dr. ing. Mihai V. MICEA (UP Timișoara, 2014), conf.

125

Page 126: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

univ. dr. ing. Oliviu-Dorin MATEI (2016, UT Cluj-Napoca), conf. univ. dr. ing. Mihai UDRESCU-MILOSAV (UP Timișoara, 2017)

Membru (referent științific) într-o comisie de internațională de doctorat (doctoral committee member), Delft University of Technology (Technische Universiteit Delft), Olanda. Membrii comisiei, profesori universitari, activează în universități din Olanda, Franța, Spania și România. Titlul tezei: On Leveraging Vertical Proximity in 3D Memory Hierarchies, autor ing. M. Lefter, ISBN 978-94-6186-983-8, Printed in The Netherlands, conducători științifici: Assoc. Prof. dr. ir. S. D. Coțofană (promotor), Assoc. Prof. dr. ir. J.S.S.M. Wong (co-promotor). Data examinării publice: 14 noiembrie 2018. A se vedea http://webspace.ulbsibiu.ro/lucian.vintan/html/Delft.pdf. Membru al „Comisiei de Știința și Tehnologia Microsistemelor” a Academiei Române (din 06.12.2018), v. http://www.acad.ro/academia2002/acadrom/pag_comisii.htm, http://www.link2nano.ro/acad/STMS/membri.php

SITE-uri internet referitoare la persoana subsemnatului, disponibile online la:

http://www.astr.ro/prof-univ-dr-ing-lucian-nicolae-vintan/ ( RO, Academia de Științe Tehnice din România)

http://webspace.ulbsibiu.ro/lucian.vintan/html/ ( EN, ULBS)

https://www.hipeac.net/~lucian.vintan/ ( EN, HiPEAC)

http://csac.ulbsibiu.ro/cv.php?q=4 ( RO, ULBS)

http://ro.wikipedia.org/wiki/Lucian_Vin%C8%9Ban ( Wikipedia)

https://ulbsibiu.academia.edu/LucianVintan , https://prabook.com/web/lucian_n.vintan/480072

http://doctorate.ulbsibiu.ro/wp-content/uploads/CV-Professor-Lucian-V.pdf

https://www.researchgate.net/profile/Lucian_Vintan

https://scholar.google.com/citations?user=9NiMZo4AAAAJ&hl=ro

APPENDIX

Predicția neuronală a instrucțiunilor de ramificație (Neural Branch Prediction). Impact academic, industrial și medical

Impactul ideii mele referitoare la Neural Branch Prediction, introdusă în premieră mondială în cadrul conferinței IJCNN din anul 1999 (Washington, DC), este sintetizat, la nivelul anului 2018, în articolele disponibile online la (în limba engleză) respectiv la http://webspace.ulbsibiu.ro/lucian.vintan/html/Impact.docx (în limba română).

126

Page 127: listachamaeleons.com/doc/downloads/Lista.doc · Web viewLISTA LUCRĂRILOR PUBLICATE / ELABORATE. GRANTURI, CITĂRI, DIVERSE. Unele dintre aceste lucrări (v. Par. A, B, C prezentate

04. 05. 2019 Prof. univ. dr. ing. LUCIAN N. VINŢANSIBIU Membru titular al Academiei de Ştiinţe Tehnice din România

127