Prelucrarea digitala a semnalelor

718
INTRODUCERE Prelucrarea numeric\ a semnalelor (PNS) este un domeniu al [tiin]ei care s-a dezvoltat foarte rapid `n ultimii 30 de ani, ca urmare a progresului `nregistrat de tehnologia calculatoarelor [i fabricarea circuitelor integrate. Prelucrarea numeric\ a semnalelor are aplica]ii `n orice domeniu `n care informa]ia poate fi prezentat\ sub form\ numeric\. Dintre acestea se amintesc: 1. Procesarea de imagini: facsimil, harta vremii prin satelit, anima]ie etc. 2. Instrumenta]ie/control: analiz\ spectral\, controlul pozi]iei [i al vitezei, compresie de date etc. 3. Vorbire/audio: recunoa[terea vocii, sinteza vorbirii, egalizare etc. 4. Militar: securitatea comunica]iilor, procesare radar, procesare sonar, ghidarea proiectilelor etc. 5. Telecomunica]ii: anulare ecou, egalizare adaptiv\, conferin]e video, comunica]ii de date etc. 6. Biomedical: scanare computer-tomografie, electroencefalografie, electrocardiografie etc. Aceast\ enumerare ilustreaz\ importan]a prelucr\rii numerice a semnalelor `n diverse domenii de activitate. Câteva dintre avantajele acestui mod de prelucrare a semnalelor sunt: 1. Acurate]e garantat\ – determinat\ de num\rul de bi]i folosi]i `n reprezentarea semnalului; 2. Reproductibilitate perfect\ – se ob]in performan]e identice de la unitate la unitate, dac\ nu variaz\ toleran]ele componentelor, de exemplu o `nregistrare numeric\ poate fi copiat\ sau reprodus\ f\r\ vreo degradare a calit\]ii semnalului; 3. Nu are abateri cu temperatura sau vechimea; 4. Sistemele de PNS pot fi realizate sub form\ de circuite integrate care prezint\ siguran]\ crescut\, gabarit redus, putere mic\, cost mic; 5. Flexibiliate crescut\ – sistemele de PNS pot fi programate [i reprogramate pentru a realiza o varietate de func]ii, f\r\ modificarea hardului; 1

description

Prelucrarea digitala a semnalelor

Transcript of Prelucrarea digitala a semnalelor

Page 1: Prelucrarea digitala a semnalelor

INTRODUCERE Prelucrarea numeric\ a semnalelor (PNS) este un domeniu al [tiin]ei care s-a dezvoltat foarte rapid `n ultimii 30 de ani, ca urmare a progresului `nregistrat de tehnologia calculatoarelor [i fabricarea circuitelor integrate. Prelucrarea numeric\ a semnalelor are aplica]ii `n orice domeniu `n care informa]ia poate fi prezentat\ sub form\ numeric\. Dintre acestea se amintesc:

1. Procesarea de imagini: facsimil, harta vremii prin satelit, anima]ie etc.

2. Instrumenta]ie/control: analiz\ spectral\, controlul pozi]iei [i al vitezei, compresie de date etc.

3. Vorbire/audio: recunoa[terea vocii, sinteza vorbirii, egalizare etc. 4. Militar: securitatea comunica]iilor, procesare radar, procesare

sonar, ghidarea proiectilelor etc. 5. Telecomunica]ii: anulare ecou, egalizare adaptiv\, conferin]e

video, comunica]ii de date etc. 6. Biomedical: scanare computer-tomografie, electroencefalografie,

electrocardiografie etc. Aceast\ enumerare ilustreaz\ importan]a prelucr\rii numerice a semnalelor `n diverse domenii de activitate. Câteva dintre avantajele acestui mod de prelucrare a semnalelor sunt:

1. Acurate]e garantat\ – determinat\ de num\rul de bi]i folosi]i `n reprezentarea semnalului;

2. Reproductibilitate perfect\ – se ob]in performan]e identice de la unitate la unitate, dac\ nu variaz\ toleran]ele componentelor, de exemplu o `nregistrare numeric\ poate fi copiat\ sau reprodus\ f\r\ vreo degradare a calit\]ii semnalului;

3. Nu are abateri cu temperatura sau vechimea; 4. Sistemele de PNS pot fi realizate sub form\ de circuite integrate

care prezint\ siguran]\ crescut\, gabarit redus, putere mic\, cost mic; 5. Flexibiliate crescut\ – sistemele de PNS pot fi programate [i

reprogramate pentru a realiza o varietate de func]ii, f\r\ modificarea hardului;

1

Page 2: Prelucrarea digitala a semnalelor

6. Performan]e superioare – sistemele de PNS pot realiza func]ii inaccesibile prelucr\rii analogice, de exemplu ob]inerea unui r\spuns de faz\ liniar\, implementarea de algoritmi pentru filtrarea adaptiv\.

Evident, exist\ [i dezavantaje ale PNS: 1. Vitez\ [i cost – sistemele de PNS pot fi scumpe când sunt

implicate semnale de band\ larg\. ~n prezent, convertoarele analog/numerice [i numeric/analogice sunt costisitoare sau nu au suficient\ rezolu]ie pentru aplica]ii PNS de band\ larg\. Timpul necesar conversiei limiteaz\ viteza de lucru. Obi[nuit, numai circuitele integrate specializate pot procesa semnale `n domeniul MHz [i sunt scumpe. Semnale de band\ mai mare de 100 MHz se prelucreaz\ numai analogic;

2. Timpul de proiectare – uneori proiectarea unui circuit poate consuma nejustificat de mult timp;

3. Problema lungimii finite a cuvintelor – `n situa]iile de prelucrare `n timp real, considera]ii economice impun ca algoritmii PNS s\ fie implementa]i pe un num\r limitat de bi]i. Dac\ acesta nu este suficient pentru a reprezenta variabilele, apar degrad\ri serioase ale performan]elor circuitului. Sistemele numerice sunt afectate de zgomotul de cuantizare al convertoarelor analog/numerice, care este cu atât mai mare cu cât num\rul de bi]i folosit `n reprezentarea e[antioanelor semnalului de intrare este mai mic. Mai mult, `n timpul prelucr\rii, datorit\ opera]iei de rotunjire, apare un zgomot care, prin acumulare, poate conduce la instabilitate pentru sistemele de ordin superior.

Prelucrarea numeric\ a semnalelor implic\ reprezentarea, transmisia [i prelucrarea semnalelor folosind tehnici numerice [i procesoare numerice, deci, se poate spune c\ PNS se ocup\ cu reprezentarea numeric\ a semnalelor [i utilizarea procesoarelor numerice pentru a analiza, modifica sau extrage informa]ii din semnale. De[i domeniul prelucr\rii numerice a semnalelor este foarte dinamic, ajungându-se, `n func]ie de aplica]ie la dezvoltarea unor algoritmi [i metode de analiz\ foarte sofisticate, `n lucrarea de fa]\ se urm\re[te prezentarea principiilor fundamentale care stau la baza prelucr\rii numerice de semnal. Obiectivele acestei c\r]i constau `n prezentarea unitar\ [i documentat\ a teoriei sistemelor discrete liniare [i introducerea unor metode [i tehnici de analiz\ de baz\ folosite `n prelucrarea numeric\ a semnalelor. Conceptele descrise `n aceast\ carte pot fi `mp\r]ite `n patru categorii: analiz\, sintez\, transform\ri [i filtrare liniar\. Semnalele [i sistemele se analizeaz\ `n domeniul timp [i frecven]\ pentru a le determina caracteristicile. ~n domeniul timp un filtru numeric este caracterizat de r\spunsul la impuls . Suma de convolu]ie ][ nh

2

Page 3: Prelucrarea digitala a semnalelor

permite determinarea ie[irii , cunoscute fiind secven]a de intrare [i r\spunsul la impuls. Cunoa[terea r\spunsului la impuls permite

determinarea stabilit\]ii filtrului. Ecua]iile cu diferen]e constituie o descriere alternativ\ a filtrelor `n domeniul timp, util\ `n implementarea lor.

][ny][ nx

)(ωH

De obicei, specifica]iile filtrelor se dau `n domeniul frecven]\, motiv pentru care va fi folosit\ transformata Fourier pentru examinarea propriet\]ilor semnalelor [i sistemelor `n acest domeniu. Transformata Fourier a r\spunsului la impuls determin\ func]ia de transfer

a filtrului [i reprezint\ câ[tigul filtrului la diferite frecven]e. Transformata Fourier a unei secven]e define[te spectrul al acesteia. Transformata Fourier discret\ este folosit\ pentru analiza spectral\ cu ajutorul calculatorului numeric, folosind algoritmi rapizi de calcul. Tot pentru analiza semnalelor [i sistemelor discrete se folose[te o tehnic\ mai general\ oferit\ de transformata Z, cu ajutorul c\reia se ob]ine o interpretare facil\ a r\spunsului `n frecven]\ al filtrului. Func]ia de sistem H(z) este transformata Z a r\spunsului la impuls. Metodele de sintez\ implic\ aflarea coeficien]ilor pentru satisfacerea specifica]iilor dorite ale filtrelor. De asemenea, sunt prezentate câteva metode simple de ob]inere a unor filtre numerice selective de frecven]\.

][nh

[x ]n )(ωX

~n capitolul 1 sunt descrise opera]iile de baz\ ce intervin `n conversia analog - numeric\ a semnalelor analogice, este descris `n detaliu procesul de e[antionare a unui semnal armonic [i este explicat fenomenul alias. Capitolul 2 este dedicat caracteriz\rii [i analizei sistemelor discrete liniare invariante `n timp `n domeniul timp. Este intodus\ suma de convolu]ie [i se efectueaz\ clasificarea sistemelor `n func]ie de caracteristicile lor. Sistemele discrete liniare invariante `n timp sunt descrise cu ajutorul ecua]iilor cu diferen]e [i se determin\ r\spunsul acestora la semnale de intrare arbitrare `n condi]ii ini]iale nenule. ~n capitolul 3 se introduc transformata Z bilateral\ [i unilateral\ [i propriet\]ile acestora. Se ilustreaz\ folosirea transformatei Z `n caracterizarea sistemelor liniare invariante `n timp [i se reformuleaz\ propriet\]ile de cauzalitate [i stabilitate ale sistemelor `n func]ie de transformata Z. Transformata Z unilateral\ este folosit\ pentru determinarea r\spunsului unui sistem discret, liniar, invariant `n timp la un semnal de intrare dat, `n condi]ii ini]iale. Capitolul 4 trateaz\ analiza semnalelor `n domeniul frecven]\. Sunt introduse seria [i transformata Fourier ca instrumente de analiz\ a

3

Page 4: Prelucrarea digitala a semnalelor

semnalelor periodice, respectiv aperiodice, atât `n domeniul analogic, cât [i discret. ~n capitolul 5 sistemele discrete liniare invariante `n timp sunt caracterizate `n domeniul frecven]\. Sunt prezentate câteva metode simple de proiectare a unor filtre de tip FIR [i IIR. Capitolul 6 este dedicat e[antion\rii semnalelor [i spectrelor lor [i problematicii refacerii acestora din e[antioanele prelevate. Se are `n vedere e[antionarea `n domeniul timp atât a semnalelor analogice aperiodice [i periodice, cât [i a semnalelor discrete. De asemenea, se trateaz\ e[antionarea spectrelor semnalelor aperiodice analogice [i discrete [i refacerea lor. ~n capitolul 7 este tratat\ transformata Fourier discret\: propriet\]i, leg\tura cu alte transformate [i aplica]ii ale DFT `n filtrarea liniar\. Capitolul 8 introduce algoritmi rapizi pentru calculul convoluţiei şi ai transformatei Fourier rapide. În capitolul 9 sunt introduse diverse structuri de implementare ale filtrelor numerice care, în practică, au comportări diferite la cuantizarea coeficienţilor filtrelor. Capitolele 10 şi 11 prezintă separat metode de proiectare folosite pentru obţinerea filtrelor cu răspuns finit şi, respectiv, infinit la impuls. Capitolul 12 analizează efectul lungimii finite a reprezentării valorilor numerice asupra performanţelor sistemelor, în diverse structuri de implementare. În capitolul 13 sunt introduse metodele neparametrice şi parametrice de estimare a spectrului de putere al semnalelor.

Capitolul 14 tratează problematica predicţiei liniare şi a filtrării liniare optimale.

În capitolul 15 sunt introduse noţiuni fundamantale referitoare la dispozitivele de modificare a frecvenţei de eşantionare a semnalelor si analiza multirezoluţie a semnalelor prin descompunerea subbandă.

Cartea de fa]\ cuprinde 53 de exemple [i 86 de probleme, selectate `n scopul ilustr\rii aspectelor teoretice prezentate, [i se adreseaz\ atât studen]ilor de la studii de zi sau aprofundate, cât si speciali[tilor doritori de o tratare unitar\ a unui domeniu atât de dinamic.

4

Page 5: Prelucrarea digitala a semnalelor

5

Page 6: Prelucrarea digitala a semnalelor

CAPITOLUL 1

NOIUNI I OPERAII DE BAZ| ~N CONVERSIA ANALOG/NUMERIC| I

NUMERIC/ANALOGIC| 1.1. Semnale Prin semnal se `n]elege orice cantitate sau calitate fizic\ care variaz\ cu timpul, spa]iul sau oricare alt\ sau alte variabile independente [i transport\ sau con]ine informa]ie. A[a, de exemplu, dac\ un vapor circul\ pe timp de cea]\, pentru a evita o eventual\ coliziune cu altul, el emite semnale sonore care, recep]ionate de alte nave, "aduc" informa]ii cu privire la prezen]a [i pozi]ia sa. Semnalele au natur\ fizic\ foarte divers\: biologice, acustice, mecanice, electrice, chimice, video etc. Metodele folosite `n prelucrarea semnalelor sau `n analiza r\spunsului unui sistem la un anumit tip de semnal depind de natura [i caracteristicile semnalelor, motiv pentru care se va prezenta o clasificare a acestora. 1.1.1. Semnale multidimensionale [i multicanal De[i semnalele pot fi reprezentate `n multe moduri, `n toate cazurile informa]ia este con]inut\ `n modelul adoptat. Matematic, semnalele sunt modelate ca func]ii de una sau mai multe variabile independente.

De exemplu, un semnal sonor este reprezentat ca o func]ie de o singur\ variabil\, [i anume, timpul. Dac\, `ns\, se consider\ o `nregistrare fotografic\ alb-negru, caracterizat\ `n fiecare punct de o nuan]\ de gri, aceasta constituie "valoarea" semnalului. Ea nu depinde de timp, ci de pozi]ia punctului investigat `n cadrul imaginii. ~n acest caz, semnalul nu are o evolu]ie temporal\, ci se modific\ `n func]ie de coordonatele 6

Page 7: Prelucrarea digitala a semnalelor

carteziene ale punctului din imagine, fiind o func]ie de dou\ variabile spa]iale I(x,y). Evident, se poate imagina o succesiune de fotograme, cum este cazul peliculei cinematografice, caz `n care nuan]ele de gri `ntr-un punct se modific\ de la o fotogram\ la alta. ~n acest caz, semnalul este atât func]ie de coordonatele carteziene, cât [i de timp [i poate fi descris de un semnal tridimensional I(x,y,t). Un semnal se nume[te monodimensional dac\ este reprezentat `n func]ie de o singur\ variabil\ independent\. Un semnal se nume[te M-dimensional dac\ valoarea sa este o func]ie de M variabile independente. Semnalul generat de o singura surs\ sau senzor [i care este o func]ie de una sau mai multe variabile independente se nume[te semnal monocanal sau scalar. ~n unele aplica]ii, semnalele pot fi generate de mai multe surse sau senzori. Astfel de semnale pot fi reprezentate `n form\ vectorial\. Un exemplu `n acest sens `l constituie accelera]ia determinat\ de un cutremur de p\mânt, care este rezultatul suprapunerii a trei tipuri de unde elastice: primar\, secundar\ [i de suprafa]\. Multe surse genereaz\ semnale scalare care, uneori, din considerente matematice sau de nota]ie, sunt tratate drept componente ale unui vector. Un exemplu `n acest sens `l constituie ie[irea unui electrocardiograf care are trei electrozi (senzori) plasa]i `n trei locuri diferite pe piele. Dac\ not\m cu sk(t), k = 1, 2, 3, semnalul electric de la electrodul k drept func]ie de timp, setul de p = 3 semnale poate fi reprezentat ca

(1.1)

=

)()()(

)(

3

2

1

3

tststs

tS

O astfel de matrice sau vector de semnale reprezint\ un semnal multicanal. ~n continuare, se consider\ cazul unei imagini TV color, care poate fi descris\ de trei func]ii de forma Ir(x,y,t), Ig(x,y,t) [i Ib(x,y,t) corespunz\toare str\lucirii celor trei culori fundamentale (ro[u, verde [i albastru) ca func]ii de timp [i coordonatele pixelului. Imaginea TV color reprezint\ astfel un semnal tricanal, tridimensional, ce poate fi reprezentat de vectorul

7

Page 8: Prelucrarea digitala a semnalelor

(1.2)

=

),,(),,(),,(

),,(tyxItyxItyxI

tyxI

b

g

r

~n cele ce urmeaz\, se va opera cu semnale unicanal, unidimensionale, reale sau complexe, care vor fi numite simplu, semnale. ~n electronica uzual\, variabila dup\ care se produce modificarea valorii semnalului este de obicei timpul, motiv pentru care se va considera acest caz, marcând explicit excep]iile. ~n vederea prelucr\rii semnalului se utilizeaz\ circuite electronice, analogice sau numerice. ~n consecin]\, un semnal de o natur\ fizic\ oarecare, s\ zicem biologic\, trebuie mai `ntâi "tradus" `ntr-un semnal electric sau, `n general, `ntr-un semnal u[or prelucrabil ulterior. Acesta trebuie s\ reflecte cât mai fidel caracteristicile semnalului original. Conversia unui semnal de natur\ oarecare `n semnal electric se realizeaz\ cu ajutorul unui traductor. Evident, va ap\rea [i problema invers\. De exemplu, în orientarea unei antene pe o anumit\ direc]ie, semnalul electric de comand\ trebuie s\ fie tradus `n pozi]ia unghiular\ cerut\ antenei prin intermediul unui "sistem" care admite o comand\ electric\ (tensiune sau curent) [i furnizeaz\ ca r\spuns o mi[care mecanic\ de unghi determinat.

1.1.2. Semnale definite `n timp continuu [i `n timp discret

Semnalele pot fi clasificate dup\ caracteristicile variabilei independente [i valorile pe care le iau. Variabila independent\ poate fi continu\ sau discret\. Semnalele definite `n timp continuu sunt definite pentru orice valoare a variabilei independente dintr-un interval finit sau infinit. Acestea mai sunt cunoscute sub numele de semnale analogice. Considera]ii asupra amplitudinii semnalului vor fi f\cute `n paragraful urm\tor. Un exemplu de semnal definit `n timp continuu este reprezentat de semnalul de forma

[∑=

+⋅=N

iiii ttFtAts

1)()(2sin)()( θπ ] (1.3)

unde Ai(t), Fi(t) [i θi(t) reprezint\ mul]imile amplitudinilor, frecven]elor [i fazelor (posibil variante `n timp) ale sinusoidelor componente [i N – num\rul de componente. ~n figura 1.2a este reprezentat un semnal definit `n timp continuu.

8

Page 9: Prelucrarea digitala a semnalelor

Este posibil ca un semnal definit `n timp continuu s\ nu fie o func]ie continu\ de variabila independent\, cum este cazul semnalului reprezentat `n figura 1.1.

Figura 1.1. Semnal discontinuu definit `n timp continuu

Spre deosebire de semnalele definite `n timp continuu, exist\ o a doua mare categorie de semnale definite `n timp discret, care sunt definite numai pentru valori discrete de timp. Acestea nu trebuie neap\rat s\ fie echidistante, dar, `n practic\, din considerente de comoditate a trat\rii matematice, de cele mai multe ori, se iau uniform distan]ate. Un semnal definit `n timp discret poate fi reprezentat matematic de o secven]\ de numere reale sau complexe.

Figura 1.2. Semnal definit `n timp discret (b) ob]inut prin e[antionarea

unui semnal analogic (a)

Pentru a putea prelucra un semnal cu ajutorul calculatorului numeric este necesar\ discretizarea `n timp a semnalului definit `n timp continuu, opera]ie denumit\ e[antionarea semnalului. E[antioanele reprezint\ valorile pe care le ia semnalul la anumite momente de timp tn = nTs , n ∈ Z, Ts fiind pasul sau perioada de e[antionare. Se poate norma timpul tn prin `mp\r]irea la Ts, astfel `ncât timpul (normat) este n, o variabil\ discret\. Prin abuz de limbaj, variabila discret\ n este denumit\ timp discret, de[i este o m\rime adimensional\. ~n plus, aceast\ m\rime poate proveni [i dintr-un semnal care nu are evolu]ie temporal\. ~n figura 1.2a se prezint\ un semnal xa(t) ce evolueaz\ `n timp continuu t. Din el se preleveaz\ e[antioane la momentele nTs, rezultând semnalul `n timp ][nx 9

Page 10: Prelucrarea digitala a semnalelor

discret, n ∈ Z. Semnalul nu este definit decât la valori `ntregi ale timpului discret n, ob]inut prin normare cu T

][nx

xa (

s. Valoarea semnalului discret la un moment n este egal\ cu valoarea semnalului analogic la momentul de e[antionare nTs, adic\

(1.4) [ ]nxnTs ≡)unde prin s-a indicat faptul c\ variabila este discret\. [ ].

~n mod asem\n\tor, se poate imagina c\ cele dou\ coordonate x [i y ale unei `nregistr\ri fotografice se discretizeaz\ cu pa[ii ∆x [i ∆y, ob]inându-se coordonatele punctelor de e[antionare sub forma unei grile (m⋅∆x , n⋅∆y), unde m ∈ Z [i n ∈ Z. Dup\ normare, `n plan rezult\ coordonatele (m , n).

~n practic\ exist\ [i semnale intrinsec definite `n timp discret, cum ar fi indicele de burs\; un alt exemplu ar fi cel care indic\, la o mul]ime finit\ de persoane procentul din acestea care au publicat 0 c\r]i, o carte, 2 c\r]i [.a.m.d., ca `n figura 1.3. "Semnalul" care arat\ procentul de persoane ce au n c\r]i publicate este un semnal dependent intrinsec de o variabil\ discret\ (num\r de c\r]i). El nu provine din e[antionarea unui semnal analogic.

Nota]iile folosite `n literatura de specialitate pentru semnalele definite `n timp discret sunt , , sau chiar . ~n continuare, se va prefera [i utiliza nota]ia cu paranteze p\trate pentru argument, pentru a sublinia caracterul discret al timpului.

[ ]nx ( )nx nx ( snTx )

Figura 1.3

1.1.3. Semnale cu valori continue [i discrete Valorile pe care le poate lua un semnal pot fi continue sau discrete. Dac\ un semnal poate lua toate valorile posibile dintr-un interval finit sau infinit, el se nume[te cu valori continue. Acesta este cazul

10

Page 11: Prelucrarea digitala a semnalelor

semnalelor reprezentate `n figura 1.2 a [i b. Se observ\ c\ atât semnalele analogice cât [i cele discrete pot avea valori continue.

Dac\ un semnal ia valori dintr-o mul]ime finit\ de valori posibile, el se nume[te cu valori discrete. ~n mod obi[nuit, valorile discrete sunt exprimate ca multiplu `ntreg al diferen]ei dintre dou\ valori succesive posibile. Procesul de transformare a unui semnal cu valori continue `ntr-unul cu valori discrete se nume[te cuantizare. Atât semnalele definite `n timp continuu cât [i cele definite `n timp discret pot avea valori discrete.

Figura 1.4. Semnal cuantizat a) definit `n timp continuu, b) definit `n timp discret

~n figura 1.4a este reprezentat un semnal analogic cuantizat cu cuanta q. ~n prelucrarea numeric\ a semnalelor, pe lâng\ discretizarea acestora `n timp, este necesar\ [i cuantizarea valorilor e[antioanelor, deoarece calculatorul accept\ la intrare numere ce pot fi reprezentate cu un num\r finit de cifre binare. Sunt cunoscute sub numele de semnale numerice sau digitale cele pentru care atât timpul sau, mai general, variabila independent\, cât [i amplitudinea semnalului au valori discrete. ~n figura 1.4b este reprezentat un semnal numeric. Semnalele definite `n timp discret se mai numesc [i semnale discrete, indiferent dac\ sunt sau nu cuantizate.

Procesarea numeric\ a semnalelor se ocup\ cu transform\ri ale semnalelor care sunt discrete atât `n timp, cât [i `n amplitudine. Procesoarele numerice analizeaz\, modific\ sau extrag informa]ii din astfel de semnale.

1.1.4. Semnale deterministe [i aleatoare Pentru analiza [i procesarea semnalelor este necesar\ descrierea

matematic\ a acestora, care se refer\, de fapt, la modelul ales pentru semnal. Aceasta conduce la o alt\ clasificare important\ a semnalelor.

11

Page 12: Prelucrarea digitala a semnalelor

Un semnal se nume[te determinist dac\ poate fi descris `n mod unic de o expresie matematic\ explicit\, o lege sau un tabel de atribuire. Acest termen se folose[te pentru a eviden]ia faptul c\ orice valoare trecut\, prezent\ sau viitoare a semnalului este cunoscut\ precis, f\r\ nici o incertitudine.

~n practic\, exist\ semnale care fie nu pot fi descrise de formule matematice convenabile din punctul de vedere al fidelit\]ii, fie aceast\ descriere este prea complicat\ pentru a fi utilizat\. Un semnal se nume[te aleator dac\ evolu]ia acestuia `n timp este imprevizibil\. Analiza [i descrierea semnalelor aleatoare se realizeaz\ cu ajutorul metodelor statistice.

1.2. Conceptul de frecven]\ pentru semnale analogice [i discrete ~n scopul stabilirii unei analogii `ntre no]iunile de frecven]\

definite pentru semnale analogice [i discrete, se vor considera semnale descrise de o func]ie armonic\. a) Fie (x o oscila]ie armonic\, descris\ matematic `n timp continuu de rela]ia

)ta

, − (1.5) )cos()( θ+Ω= tAtxa +∞<<∞ tunde indicele a indic\ un semnal analogic. Semnalul este complet caracterizat de trei parametri: )(txa

– amplitudinea oscila]iei; A – pulsa]ia, exprimat\ `n radiani/secund\; Ω θ – faza, exprimat\ `n radiani.

M\rimea este legat\ de frecven]a F, exprimat\ `n cicluri/perioad\, prin rela]ia

Ω

pTF ππ

22 ==Ω (1.6)

unde T este perioada oscila]iei. p

Cu (1.6), rela]ia (1.5) se mai scrie )2cos()( θπ += FtAtxa , − (1.7) +∞<<∞ t

Prin abuz de limbaj, pulsa]ia Ω este uneori denumit\ tot frecven]\, dar cu specificarea unit\]ii de m\sur\ de radiani/secund\, `n timp ce F are unitatea de m\sur\ cicluri/perioad\ sau Hz.

12

Semnalul dat de rela]ia (1.7) este caracterizat de urm\toarele propriet\]i:

Page 13: Prelucrarea digitala a semnalelor

1. Pentru o frecven]\ fix\ F, este periodic, de perioad\

fundamental\

)(txa

Fp1

=T , adic\ (1.8) )() txT ap =(txa +

2. Semnalele armonice cu frecven]e distincte sunt distincte. 3. Cre[terea frecven]ei semnalului are ca rezultat ob]inerea mai multor perioade ale semnalului `n acela[i interval de timp. Semnalele armonice pot fi exprimate cu ajutorul func]iilor exponen]iale [i invers, utilizând rela]ia lui Euler

)sin()cos()( θθθ +Ω±+Ω=+Ω± tjte tj (1.9) Rezult\ atunci

)()(

22)cos()( θθθ +Ω−+Ω +=+Ω= tjtj

a eAeAtAtx (1.10)

Se observ\ folosirea unui termen ce con]ine pulsa]ie negativ\. Aceasta se utilizeaz\ datorit\ comodit\]ii de calcul pe care o ofer\ exponen]ialele (reproducere prin integrare sau derivare). Termenul corespunz\tor pulsa]iei pozitive determin\ un fazor ce se rote[te `n sens opus acelor de ceasornic cu viteza unghiular\ , iar cel cu pulsa]ie negativ\, un fazor ce se rote[te `n sens orar cu aceea[i vitez\ unghiular\.

Ω

b) Fie semnalul armonic discret , n (1.11) [ ] )cos( θω += nAnx Z∈

unde A este amplitudinea sinusoidei, ω – pulsa]ia, θ – faza. Pentru a p\stra analogia cu cazul semnalelor analogice, pulsa]ia se m\soar\ `n radiani/e[antion, iar faza `n radiani. Tot prin abuz de limbaj, pulsa]iei i se mai spune frecven]\, dar cu specificarea unit\]ii de m\sur\. ~n locul pulsa]iei ω se poate folosi frecven]a f

ω

(1.12) fπω 2=adic\ , n (1.13) [ ] )2cos( θπ += fnAnx Z∈~n paragraful (1.3.1) se va stabili leg\tura dintre frecven]ele f [i F, dar pentru moment se eviden]iaz\ câteva propriet\]i ale semnalului discret

dat de rela]ia (1.13), `n compara]ie cu cele stabilite pentru semnalul analogic. [ ]nx

1. Periodicitatea `n timp discret este definit\ prin rela]ia , [i N `ntreg (1.14) [ ] [nxNnx =± ] Zn∈∀

Cea mai mic\ valoare pozitiv\ a lui N pentru care (1.14) este adev\rat\ se nume[te perioad\ fundamental\. Pentru ca semnalul dat de (1.13) de frecven]\ f0 s\ fie periodic trebuie ca

13

Page 14: Prelucrarea digitala a semnalelor

cos (1.15) Rela]ia (1.15) este adev\rat\ dac\ [i numai dac\

( )[ ] [ θπθπ +=++ nfNnf 00 2cos2 ]

(1.16) ππ kNf 22 0 =

sau, echivalent Nkf =0 (1.17)

adic\ f0 este un num\r ra]ional. 2. Semnalele armonice discrete sunt identice dac\ pulsa]iile lor difer\ printr-un multiplu `ntreg de sau, echivalent, frecven]ele difer\ printr-un num\r `ntreg, adic\ semnalele

π2

, (1.18) [ ] ( ) ,...2,1,0,cos =+= knAnx kk θωsunt identice, dac\

πωω kk 20 += ; − , sau fπωπ ≤< 0 k=f0+k, ,21

21

0 ≤< f− k=0,1,2,..(1.19)

Pe de alt\ parte, secven]ele corespunz\toare oric\rui semnal armonic discret cu pulsa]ia cuprins\ `n intervalul sau frecven]a `n

intervalul

],( ππ−

21,

21

sunt distincte. Intervalele [i ]π,( π−

21,

21

se

numesc fundamentale. Datorit\ periodicit\]ii descrise de (1.19), orice secven]\ armonic\ de alt\ pulsa]ie sau frecven]\ decât cele din intervalul fundamental este identic\ cu o secven]\ armonic\ având pulsa]ia

, respectiv frecven]a πωπ ≤<− 0 21

0 ≤21<− f . Din acest motiv

semnalele armonice ale c\ror frecven]e −

21

21

∉kf se numesc alias-uri

ale semnalului armonic corespunz\tor frecven]ei f0. Adoptarea acestei denumiri va fi justificata `n paragraful 1.3.1. 3. Frecven]\ maxim\ de oscila]ie se atinge atunci când ω = (sau

) sau, echivalent,

π

πω −=21

=f (sau 21

−=f ).

1.3. Conversia analog-numeric\ [i numeric-analogic\

Cele mai multe din semnalele de interes practic (vorbire, biologice, seismice, radar, sonar, de comunica]ii, audio, video) sunt analogice. Pentru a prelucra astfel de semnale cu metode numerice este nevoie a le transforma mai `ntâi `ntr-o form\ numeric\, adic\ `ntr-o

14

Page 15: Prelucrarea digitala a semnalelor

secven]\ de numere , cu o anumit\ precizie. Aceast\ opera]ie se

nume[te conversie analog-numeric\, iar dispozitivul care realizeaz\ acest lucru se nume[te convertor analog-numeric (A/N). Dup\ prelucrarea acestora, urmeaz\ adesea o nou\ conversie, numeric-analogic\ (N/A), prin care datele numerice sunt transformate `ntr-o m\rime analogic\

.

[ ]nxq

y[ ]n)(tyr

Opera]iile descrise anterior sunt realizate de un sistem a c\rui schem\ bloc este reprezentat\ `n figura 1.5.

Figura 1.5. Sistem discret pentru procesarea semnalelor analogice

Conversia analog-numeric\ poate fi v\zut\ ca un proces `n trei etape, ilustrat `n figura 1.6.

Figura 1.6. P\r]ile componente ale unui convertor A / N

Cele trei etape ale conversiei A/N sunt: 1. E[antionarea, care const\ `n re]inerea valorilor semnalului definit `n timp continuu la momente discrete de timp. Dac\ intrarea este ,

ie[irea din blocul de e[antionare este , unde T este perioada de e[antionare.

)(txa

[ ]nxnTxa =)(

2. Cuantizarea, prin care se aloc\ fiec\rui e[antion o valoare dintr-o mul]ime finit\. Diferen]a dintre e[antionul necuantizat ( ) [i cel

cuantizat ( ) reprezint\ eroarea de cuantizare.

[ ]nx[ ]nxq

3. Codarea, care reprezint\ atribuirea unei secven]e binare fiec\rui e[antion cuantizat . ~n practic\, exist\ circuite care realizeaz\ toate

aceste func]ii. Dup\ ce m\rimea este prelucrat\ numeric, se ob]ine

m\rimea care, de obicei, este supus\ unei opera]ii inverse, de conversie N/A, pentru a putea fi v\zut\, auzit\ etc.

[ ]nxq

[ ]nxq

[ ]ny

15

Page 16: Prelucrarea digitala a semnalelor

E[antionarea nu conduce la pierdere de informa]ii [i nici nu introduce distorsiuni dac\ banda semnalului este limitat\ [i frecven]a de e[antionare este adecvat aleas\ pentru a nu ap\rea suprapuneri sau interferen]e spectrale, cunoscute [i sub numele de eroare de aliere sau eroare alias [13]. Cuantizarea conduce la pierdere de informa]ie, fiind un proces ireversibil care are ca rezultat distorsionarea semnalului. M\rimea distorsiunilor depinde de num\rul de bi]i folosi]i `n procesul de conversie A/N [29]. 1.3.1. E[antionarea semnalelor analogice Exist\ multe metode de a e[antiona un semnal analogic. ~n cele ce urmeaz\, se va considera numai e[antionarea periodic\ sau uniform\, care este cea mai `ntâlnit\ `n practic\. Aceasta este descris\ de rela]ia

, n (1.20) [ ] )(nTxnx a= Z∈ unde este semnalul discret ob]inut prin re]inerea valorilor

semnalului analogic la fiecare T secunde. Aceast\ procedur\ este ilustrat\ `n figura 1.7.

[ ]nx)(txa

Figura 1.7. E[antionarea periodic\ a unui semnal analogic

Intervalul de timp T dintre dou\ e[antioane succesive se nume[te perioad\ de e[antionare sau interval de e[antionare. Inversa acestei m\rimi (1/T = Fs) se nume[te vitez\ sau rat\ de e[antionare (e[antioane/secund\) sau frecven]\ de e[antionare (Hertz). E[antionarea periodic\ implic\ existen]a unei rela]ii `ntre variabilele independente ale semnalului analogic [i discret, adic\ `ntre t [i n.

sF

nnT ==t (1.21)

16

Page 17: Prelucrarea digitala a semnalelor

~n consecin]\, va exista o rela]ie [i `ntre frecven]a (sau ) a semnalului analogic [i (sau ω ) a semnalului discret. Pentru a stabili aceast\ rela]ie, se consider\ un semnal analogic, de forma

F Ωf

(1.22) )2cos()( θπ += FtAtxa

care, e[antionat periodic cu Fs = 1/T e[antioane pe secund\, produce semnalul

[ ]

+=+== θ

πθπ

sa F

nFAFnTAnxnTx 2cos)2cos()( (1.23)

Dac\ se compar\ (1.23) cu (1.13) se observ\ c\ frecven]ele F [i f sunt legate prin rela]ia

sF

Ff = (1.24)

sau, echivalent, TΩ=ω (1.25)

Rela]ia (1.24) justific\ numele de frecven]\ relativ\ sau normalizat\, care se folose[te uneori pentru m\rimea f. Se reaminte[te (paragraful 1.2) c\ domeniile `n care pot lua valori m\rimile [i pentru semnale analogice sunt F Ω

, − , (1.26) +∞<<∞− F +∞<Ω<∞ `n timp ce, pentru semnale discrete, [i ω iau valori `n domeniile f

21

21

≤<− f , (1.27) πωπ ≤<−

~nlocuind (1.24) [i (1.25) `n (1.27), rezult\

T

FFFT

ss

21

2221

=≤<−=− (1.28)

respectiv

T

FFT ss

πππ

π=≤Ω<=− (1.29)

Din cele prezentate anterior se observ\ c\ diferen]a esen]ial\ `ntre semnalele definite `n timp continuu [i discret const\ `n domeniile de valori ale frecven]elor F [i f sau Ω [i ω . E[antionarea periodic\ a semnalelor analogice implic\ transformarea domeniului infinit pentru frecven]\ F (sau ) `ntr-unul finit pentru m\rimea f (sau ω ).

Ω

17

Page 18: Prelucrarea digitala a semnalelor

Deoarece cea mai mare frecven]\ a unui semnal discret este

sau πω =21

=f , rezult\ c\ folosind o frecven]\ de e[antionare ,

valorile maxime corespunz\toare pentru F [i sunt

sF

Ω

TFF s

21

2max == , T

Fsπ

π ==maxΩ (1.30)

Figura 1.8. Rela]ia dintre f [i F

E[antionarea poate introduce ambiguitate atunci când , deoarece cea mai mare frecven]\ a unui semnal analogic ce poate fi unic

determinat\ când semnalul este e[antionat cu

||2 FFs <

TFs

1= este

2maxsF

=F sau

. Rela]ia dintre frecven]ele din domeniul continuu [i discret este ilustrat\ `n figura 1.8.

sFπ=Ωmax

Exemplul 1.1. Pentru a eviden]ia ambiguitatea ce poate fi introdus\ prin e[antionare, se consider\ cazul a dou\ semnale analogice armonice:

(1.31)

care sunt e[antionate la F

( )( )ttx

ttx502cos)(102cos)(

2

1

ππ

==

s = 40 Hz. Semnalele discrete corespunz\toare sunt

[ ]

[ ] nnnx

nnnx

25cos

40502cos

2cos

40102cos

2

1

ππ

ππ

=

=

=

=

(1.32)

18

Page 19: Prelucrarea digitala a semnalelor

Dar 2

cos2

2cos2

5 nnnn πππ

π=

+=cos , deci . [ ] [ ]nxnx 12 =

Se observ\ c\ semnalele discrete ob]inute prin e[antionarea lui

[i cu Hz sunt identice [i, dat fiind semnalul )(1 tx )(2 tx 40=sF n2πcos ,

exist\ ambiguitate `n a spune c\ el provine din sau . )t(x1 )(2 txDeoarece produce exact acelea[i e[antioane ca [i prin

e[antionarea la Hz, se spune c\ frecven]a F

)(2 tx40=sF

]2

)(1 tx2 = 50 Hz este un

alias al frecven]ei F1 = 10 Hz la viteza de e[antionare de 40 Hz. Termenul provine din limba englez\, având sensul de "a se da drept altcineva", `ncet\]enit [i în limba român\. Într-adev\r, în domeniul fundamental discret intr\ frecven]e ce provin din e[antionarea unor semnale analogice ale c\ror frecven]e nu apar]in intervalului

. Apari]ia acestor aliasuri determin\ fenomenul de interferen]\ sau suprapunere spectral\, fenomen `ntâlnit [i sub denumirea de aliere.

/1,2/1(−

]2/, sF2/( sF−

Frecven]a F2 nu este singurul alias al frecven]ei F1 la frecven]a de e[antionare de 40 Hz. Toate semnalele de forma cos , k =

1,2,3... , e[antionate la frecven]a Hz produc acelea[i e[antioane [i, `n consecin]\, fecven]ele F

( )tkF 402 1 +π40=sF

k=F1+40k sunt aliasuri pentru F1 = 10 Hz, la frecven]a de e[antionare Fs=40Hz.

~n general, e[antionarea semnalului analogic ( θπ += tFAtxa 02cos)( ) (1.33)

cu frecven]a de e[antionare T

Fs1

= , conduce la semnalul discret

[ ] ( θπ += nfAnx 02cos ) (1.34)

unde sF

Ff 00 = este frecven]a relativ\ a sinusoidei.

Dac\ se impune 02 FFs ≥ , rezult\ 21

0 ≤f , caz `n care rela]ia

dintre F0 [i f0 este bijectiv\ [i, deci, este posibil\ refacerea semnalului analogic xa(t) din e[antioanele . [ ]nx Dac\, `ns\, semnalele

, (1.35) ( θπ += tFAtx ka 2cos)( )unde

19

Page 20: Prelucrarea digitala a semnalelor

Fk = F0 + kFs , k = ±1, ±2, ... (1.36) sunt e[antionate cu frecven]a Fs, semnalul e[antionat ob]inut este

[ ] ( )

( )θπ

πθπθπ

+=

=

++=

+⋅

+=≡

nfA

knFF

nAnF

kFFAnTxnx

ss

sa

0

00

2cos

22cos2cos, (1.37)

adic\ un num\r infinit de semnale armonice analogice sunt reprezentate dup\ e[antionare de acela[i semnal discret. Cu alte cuvinte, dat fiind de rela]ia (1.37), nu se poate, `n general, preciza semnalul analogic din care a fost ob]inut. Deoarece frecven]a F

[ ]nx

s/2 a unui semnal armonic analogic este cea mai `nalt\ frecven]\ ce poate fi unic reprezentat\ la frecven]a de e[antionare Fs, transformarea oric\rei frecven]e (alias) mai mari ca Fs/2 (ω = π) `ntr-o frecven]\ echivalent\ mai mic\ decât Fs/2 se poate face `n felul urm\tor: se ia punctul de la Fs/2 ca pivot [i se "reflect\" sau se "pliaz\" frecven]a alias `n domeniul -Fs/2<F≤Fs/2. Frecven]a Fs/2 se nume[te frecven]\ de reflexie (folding). La acela[i rezultat se ajunge [i prin sc\derea unui multiplu `ntreg de Fs din frecven]a Fk. 1.3.2. Teorema e[antion\rii Pentru a se putea stabili perioada de e[antionare T sau, echivalent, frecven]a de e[antionare Fs optim\ pentru refacerea semnalului analogic din cel e[antionat, trebuie cunoscut\ frecven]a cea mai `nalt\ din spectrul semnalului analogic. ~n multe cazuri acest lucru este posibil. De exemplu, frecven]a componentelor unui semnal vocal este mai mic\ de 3000 Hz, un semnal TV con]ine componente importante de frecven]\ pân\ la 5 MHz. Informa]ia acestor semnale este con]inut\ `n amplitudinile, frecven]ele [i fazele componentelor sale. Uneori `ns\ nu se cunosc astfel de detalii despre semnal (valoarea maxima a fecven]ei), scopul prelucr\rii fiind chiar ob]inerea acestora. Dac\ se cunoa[te valoarea maxim\ a frecven]ei componentelor unei clase de semnal (vocal, TV etc.), se poate specifica frecven]a de e[antionare pentru transformarea semnalului analogic `n semnal discret, astfel `ncât s\ poat\ fi realizat\ f\r\ ambiguitate [i transformarea invers\. Fie un semnal analogic reprezentat ca o sum\ de sinusoide de diferite amplitudini, frecven]e [i faze

(1.38) (∑=

+=N

iiiia tFAtx

12cos)( θπ )

20

Page 21: Prelucrarea digitala a semnalelor

unde N este num\rul componentelor, Ai – amplitudinea componentelor, Fi – frecven]ele componentelor, θi – fazele componentelor.

~n cadrul unei clase de semnale (de exemplu cel vocal), frecven]a maxim\ variaz\ lent de la realizare la realizare (de exemplu de la vorbitor la vorbitor) [i trebuie determinat\ valoarea maxim\ posibil\ Fmax. Din paragraful precedent se [tie c\ cea mai mare frecven]\ a semnalului analogic care poate fi reconstruit\ f\r\ ambiguitate atunci când acesta este e[antionat cu frecven]a Fs este Fs/2. E[antionarea componentelor a c\ror frecven]\ este mai mare de Fs/2 sau mai mic\ decât -Fs/2 are ca rezultat ob]inerea de e[antioane identice cu cele

corespunz\toare frecven]elor din intervalul 22

ss FFF≤≤− . Rezult\ atunci

c\ pentru evitarea ambiguit\]ilor ce rezult\ din aliere, frecven]a de e[antionare trebuie aleas\, astfel `ncât

(1.39) max2FFs ≥unde Fmax este cea mai mare frecven]\ din spectrul semnalului analogic. Cu aceast\ frecven]\ de e[antionare, orice component\ de frecven]\

maxFFi ≤ a semnalului analogic se transform\ `ntr-un semnal discret cu

frecven]a

21

21

≤=<s

ii F

Ff− (1.40)

sau, echivalent (1.41) ππωπ =<− ii f2 ≤

~n concluzie, alegerea frecven]ei de e[antionare astfel `ncât s\ fie `ndeplinit\ rela]ia (1.39) asigur\ transformarea componentelor sinusoidale ale semnalului analogic `n componente de frecven]\ ale semnalului discret, care apar]in intervalului fundamental de frecven]\. ~n aceste condi]ii, semnalul analogic poate fi reconstruit din e[antioanele sale. ~n continuare se va enun]a teorema e[antion\rii, demonstra]ia sa fiind dat\ `n paragraful 6.1.2.

Teorema e[antion\rii

Dac\ frecven]a cea mai `nalt\ con]inut\ `ntr-un semnal analogic xa(t) este Fmax = B [i semnalul este e[antionat cu o frecven]\

, atunci semnalul xB F Fs 22 max =≥ a(t) poate fi ref\cut din e[antioanele sale, folosind func]ia de interpolare ideal\

21

Page 22: Prelucrarea digitala a semnalelor

tF

tFtgs

s

ππsin)( = (1.42)

caz `n care semnalul analogic xa(t) este dat de rela]ia

∑+∞

−∞=

=

n ssaa F

ntgFnxtx )( , (1.43)

unde ( ) [ ]nxnTxFnx a

sa ≡=

sunt e[antioanele lui xa(t).

Dac\ e[antionarea se realizeaz\ la frecven]a minim\ Fs = 2B, rela]ia (1.43) devine

∑∞+

−∞=

=

naa

BntB

BntB

Bnxtx

22

22sin

2)(

π

π (1.44)

Frecven]a de e[antionare FN = 2B = 2Fmax poart\ numele de frecven]\ Nyquist. Rela]ia (1.43) se nume[te formula de interpolare ideal\ pentru reconstruc]ia semnalului analogic xa(t) din e[antioanele sale. Se observ\ c\ `n fiecare punct de e[antionare la formarea semnalului contribuie o singur\ func]ie de interpolare. ~n intervalul dintre dou\ e[antion\ri, la formarea semnalului contribuie toate func]iile de interpolare, a[a cum este ilustrat `n figura 1.9. Conform rela]iilor (1.43) [i (1.44), refacerea semnalului analogic este complicat\, deoarece presupune o sum\ ponderat\ infinit\ a func]iei de interpolare g(t) [i a versiunilor sale `ntârziate. Datorit\ acestei complexit\]i, rela]iile (1.43) [i (1.44) prezint\ `n principal interes teoretic, `n practic\ folosindu-se metode de interpolare mai simple. Subiectul va fi reluat [i tratat pe larg `n capitolul 6.

Figura 1.9. Reconstruc]ia semnalului analogic prin interpolare ideal\ Exemplul 1.2. Se consider\ semnalul analogic

22

Page 23: Prelucrarea digitala a semnalelor

ttttxa πππ 100cos300sin1050cos3)( −+= . S\ se determine frecven]a Nyquist pentru semnal. Frecven]ele prezente `n semnalul analogic xa(t) sunt: F1 = 25 Hz, F2 = 150 Hz, F3 = 50 Hz. Fmax = 150 Hz [i, conform rela]iei (1.39), Fs ≥ 2Fmax = 300 Hz. Frecven]a Nyquist este FN = 2Fmax = 300 Hz. Discu]ie. Se observ\ c\ prin e[antionarea componentei de semnal

cu Ftπ300sin10 N = 300 Hz rezult\ semnalul discret 10 , care este egal cu zero. Aceasta `nseamn\ c\ semnalul sinusoidal a fost e[antionat `n punctele `n care valoarea sa era egal\ cu zero [i aceast\ component\ va disp\rea din semnalul discret. Aceast\ situa]ie se poate evita `n dou\ moduri:

nπsin

a) se introduce un offset de θ° `n sinusoida respectiv\, caz `n care rezult\ semnalul care, e[antionat la F( θπ +t300sin10 ) N = 300 Hz, produce e[antioanele

[ ] ( ) ( ) θθπθπθπ sin101sincoscossin10sin10 ⋅−=⋅+⋅=+= nnnnnxPentru θ ≠ 0 [i θ ≠ π e[antioanele semnalului vor fi diferite de zero. b) se e[antioneaz\ semnalul la o frecven]\ superioar\ frecven]ei Nyquist, metod\ care este agreat\ [i folosit\ frecvent `n astfel de cazuri.

Exemplul 1.3. Fie semnalul analogic

ttttxa πππ 12000cos106000sin52000cos3)( ++= a) S\ se determine frecven]a Nyquist pentru semnal. b) Se presupune semnalul e[antionat la Fs = 5000 Hz. Ce semnal discret se ob]ine dup\ e[antionare ? c) Care este semnalul analogic ya(t) ce poate fi ref\cut din e[antioanele de la punctul b), prin interpolare ideal\ ?

a) Frecven]ele prezente `n semnalul analogic sunt: F1 = 1 KHz, F2 = 3

KHz, F3 = 6 KHz. Fmax = 6 KHz ⇒ FN = 12 KHz

b) Dac\ semnalul analogic se e[antioneaz\ cu Fs = 5 KHz, dup\ e[antionare se ob]ine semnalul discret

23

Page 24: Prelucrarea digitala a semnalelor

[ ] ( ) =

+

+

=

== nnn

FnxnTxnx

saa 5

62cos10532sin5

512cos3 πππ

+

=

++

−+

= nnnn

512cos3

5112cos10

5212sin5

512cos3 ππππ

nnnn

=

+

−+

522sin5

512cos13

512cos10

522sin5 ππππ .

c) Deoarece numai componentele de 1 KHz [i 2 KHz sunt prezente `n semnalul e[antionat [i ]inând seama c\ t=nT sau n=t/T=tFs, semnalul analogic ce poate fi ref\cut este: , care, evident, difer\ de cel original. Distorsionarea semnalului analogic original a fost determinat\ de apari]ia erorii alias datorat\ frecven]ei de e[antionare sc\zute folosite.

tttya ππ 4000sin52000cos13)( −=

1.3.3. Cuantizarea semnalelor de amplitudine continu\

~n paragraful 1.3, s-a definit cuantizarea ca fiind procesul de conversie a unui semnal discret, care ia valori `ntr-un domeniu continuu, `ntr-un semnal discret ce ia valori `ntr-o mul]ime finit\ de valori posibile. Eroarea introdus\ prin reprezentarea valorilor continue ale unui semnal prin valori ale unei mul]imi finite se nume[te eroare de cuantizare. Opera]ia de cuantizare a e[antionului se noteaz\ cu Q , iar valoarea e[antionului cuantizat ob]inut la ie[irea cuantizorului se noteaz\ cu , adic\

[ ]nx [ ][ ]nx

[ ]nxq

(1.45) [ ] [ ][ nxQnxq = ] Eroarea de cuantizare se define[te ca diferen]a dintre valoarea

cuantizat\ [i cea necuantizat\ a e[antionului

[ ]neq

(1.46) [ ] [ ] [ ]nxnxne qq −= Pentru ilustrarea opera]iei de cuantizare se consider\ urm\torul exemplu:

Fie semnalul definit `n timp discret , care a fost

ob]inut prin e[antionarea semnalului analogic , t > 0 cu o frecven]\ F

[ ]

=0

9,0 n

nx

ax (00

<≥

nn

t9,0=t)s = 1 Hz. ~n tabelul 1 sunt prezentate valorile primelor 10

e[antioane ale lui x[n] cu n zecimale. Este evident c\ aceste valori nu vor putea fi prelucrate de un calculator, deoarece numai un num\r finit de zecimale pot fi stocate [i prelucrate. Dac\ se lucreaz\ numai cu o singur\ 24

Page 25: Prelucrarea digitala a semnalelor

zecimal\, eliminarea celorlalte se poate face fie prin trunchiere, fie prin rotunjire. Tabelul 1

n [ ]nx [ ]nxq

trunchiere

[ ]nxq

rotunjire

[ ] [ ] [ ]nxnxne qq −=

rotunjire 0 1,0 1,0 1,0 0,00 1 0,9 0,9 0,9 0,00 2 0,81 0,8 0,8 -0,01 3 0,729 0,7 0,7 -0,029 4 0,6561 0,6 0,7 0,0439 5 0,59049 0,5 0,6 0,00951 6 0,531441 0,5 0,5 -0,031441 7 0,4782969 0,4 0,5 0,0217031 8 0,43046721 0,4 0,4 -0,03046721 9 0,387420489 0,3 0,4 0,012579511

Figura 1.10. Ilustrarea opera]iei de cuantizare

Valorile permise pe care le poate lua semnalul cuantizat se numesc

nivele de cuantizare, iar distan]a dintre dou\ nivele de cuantizare succesive se nume[te pas de cuantizare sau rezolu]ie.

25

Page 26: Prelucrarea digitala a semnalelor

Cuantizorul cu rotunjire atribuie fiec\rui e[antion al lui x[n] valoarea celui mai apropiat nivel de cuantizare. Cuantizorul cu trunchiere atribuie fiec\rui e[antion al lui x[n] nivelul de cuantizare inferior sau egal e[antionului. Eroarea de cuantizare `n cazul rotunjirii este

[ ]22∆

≤≤∆

− neq , (1.47)

iar `n cazul trunchierii , (1.48) [ ] ∆<≤ neq0unde ∆ este pasul de cuantizare.

Dac\ se noteaz\ cu xmin [i xmax valoarea minim\ [i respectiv, maxim\ a lui x[n] [i cu L num\rul nivelelor de cuantizare, atunci

1minmax

−−

=∆L

xx (1.49)

Valoarea reprezint\ domeniul dinamic al

cuantizorului. minmax xx −

Pentru exemplul considerat anterior, xmax = 1, xmin = 0, L = 11, ceea ce conduce la ∆ = 0,1. Evident, cu cât num\rul nivelelor de cuantizare cre[te, cu atât pasul de cuantizare scade [i, implicit, [i eroarea de cuantizare.

Cuantizarea semnalelor analogice are ca rezultat o pierdere de informa]ie, datorit\ atribuirii aceluia[i nivel de cuantizare tuturor e[antioanelor ce se g\sesc la distan]\ mai mic\ sau egal\ cu ∆/2 de nivelul de cuantizare (`n cazul rotunjirii).

1.3.4. Codarea e[antioanelor cuantizate Prin procesul de codare, `n cadrul convertorului A/N se atribuie o

secven]\ binar\ unic\ fiec\rui nivel de cuantizare. Dac\ exist\ L nivele de cuantizare, vor fi necesare L secven]e

binare distincte. Cu o lungime de b bi]i pe secven]\, numit\ [i cuvânt, se pot forma 2b secven]e binare distincte. Este necesar ca 2b ≥ L sau, echivalent, b ≥ log2 L, adic\ num\rul de bi]i necesar codorului este cel mai mic `ntreg mai mare sau egal cu log2 L. Obi[nuit, convertoarele A/D sunt pe 16 bi]i sau mai pu]in. Evident, cu cre[terea num\rului de bi]i convertorul este mai scump, dar mai precis.

Calculatoarele lucreaz\ cu numere reprezentate prin secven]e de 0 [i 1. Lungimea acestor secven]e (lungimea cuvintelor) este fix\ [i de obicei este 8, 12, 16 sau 32. ~n procesare, lungimea finit\ a cuvintelor determin\ complica]ii `n analiza sistemelor de prelucrare numeric\ a

26

Page 27: Prelucrarea digitala a semnalelor

semnalelor. Pentru evitarea acestora, `n general, se neglijeaz\ faptul c\ semnalele numerice provin `n urma cuantiz\rii [i, unde este posibil sunt tratate ca semnale e[antionate [24].

1.3.5. Conversia numeric-analogic\ Pentru a converti m\rimea numeric\ ob]inut\ `n urma prelucr\rii

numerice `n una analogic\, se folose[te un convertor numeric-analogic, a c\rui sarcin\ este de a realiza o interpolare `ntre e[antioane.

Teorema e[antion\rii specific\ forma optim\ a func]iei de interpolare pentru un semnal de band\ limitat\, dar, a[a cum s-a ar\tat anterior, aceasta este prea complicat\ pentru a fi implementat\ practic.

Figura 1.11. Conversia N/A (cu memorie) de ordinul zero

Figura 1.12. Conversia N/A prin interpolare liniar\

Cel mai simplu convertor D/A este cel de ordinul zero [24], ca re p\streaz\ valoarea constant\ a e[antionului pân\ la apari]ia urm\torului e[antion. Aceast\ situa]ie este ilustrat\ `n figura 1.11.

O `mbun\t\]ire a semnalului analogic ref\cut `n urma conversiei N/A se poate ob]ine cu un convertor cu interpolare liniar\ [24], care furnizeaz\ un semnal ob]inut prin conectarea e[antioanelor succesive prin linii, a[a cum este ar\tat `n figura 1.12. Problema refacerii semnalului din e[antioanele sale va fi reluat\ `n capitolul 6.

1.4. Probleme propuse

1.1. S\ se stabileasc\ dac\ urm\toarele semnale a) pre]ul de `nchidere

la diferite produse la burs\; b)un film color; c) pozi]ia volanului unei ma[ini `n mi[care, dac\ sistemul de referin]\ este legat de ma[in\; d)

27

Page 28: Prelucrarea digitala a semnalelor

pozi]ia volanului unei ma[ini `n mi[care, dac\ sistemul de referin]\ este p\mântul; e) greutatea [i `n\l]imea unui copil m\surate `n fiecare lun\; sunt 1) uni sau multidimensionale; 2) mono sau multicanal; 3) continue sau discrete `n timp; 4) continue sau discrete `n amplitudine. S\ se argumenteze pe scurt r\spunsul.

1.2. S\ se determine care din urm\toarele semnale sunt periodice [i pentru cele care sunt s\ se determine perioada fundamental\. a) ; [ ] nnx π01.0cos=

b) [ ]

=

10530cos nnx π ;

c) ; [ ] nnx π3cos=d) ; [ ] nnx 3sin=

e) [ ]

=

1062sin nnx π ;

f)

+=

65cos3)( πttxa ;

g) [ ]

+=

65cos3 πnnx ;

h) [ ]

π−=

6exp2 njnx ;

i) [ ]

=

8cos

18cos nnnx π

;

j) [ ]

++

=

34cos3

8sin

2cos ππππ nnnnx .

1.3. a) S\ se arate c\ perioada fundamental\ a semnalului pN

[ ] Nnkjk ens π2= ; k = 0, 1, 2, . . .

este ( )NkNN p ,c.m.m.d.c= , unde c.m.m.d.c. este cel mai mare divizor

comun al lui k [i N. b) Care este pentru N = 7 ? pN c) Care este pentru N = 16 ? pN

281.4. Se consider\ urm\torul semnal analogic sinusoidal

Page 29: Prelucrarea digitala a semnalelor

( )ttxa π100sin3)( =

a) S\ se reprezinte pentru 0 ; )(txa ms30≤≤ tb) Semnalul x este e[antionat cu = 300 e[antioane/sec. S\ se

determine frecven]a semnalului discret ,

)(ta sF

[ ] )( Tnxnx a=sF

T 1= [i s\

se arate c\ acesta este periodic. c) S\ se calculeze valorile lui dintr-o perioad\ [i s\ se reprezinte

pe acela[i grafic cu . Care este perioada semnalului discret ?

[ ]nx)(t[ ]nx xa

d) Se poate g\si o frecven]\ de e[antionare astfel `ncât semnalul s\ ating\ valoarea maxim\ de 3 ? Care este frecven]a minim\ pentru acest lucru ?

[ ]nx

1.5. Un semnal analogic este

e[antionat cu o frecven]\ 600 e[antioane/sec.

( ) ( tttxa ππ 720sin3480sin)( += )=sF

a) S\ se determine frecven]a Nyquist pentru ; )(txa

b) S\ se determine frecven]a de folding; c) Care sunt frecven]ele con]inute de semnalul discret ; [ ]nxd) Dac\ x este trecut printr-un convertor D/A ideal, ce semnal

se reface ? [ ]n )(tya

1.6. Pe un canal de comunica]ii se transmit cuvinte binare care

reprezint\ e[antioane ale semnalului de intrare tttxa ππ 1800cos2600cos3)( += .

Pe canal se pot transmite 10000 bi]i/secund\ [i fiec\rui e[antion de intrare `i poate fi atribuit unul din 1024 nivele diferite de tensiune. a) Care este frecven]a de e[antionare [i frecven]a de folding ? b) Care este frecven]a Nyquist pentru semnalul ? )(txa

c) Care sunt frecven]ele semnalului discret ? d) Care este rezolu]ia a convertorului ? ∆

1.7. Semnalul discret [ ] nnx10

cos35.6 π= este cuantizat cu o rezolu]ie

a) sau 1.0=∆b) ∆ . Câ]i bi]i sunt necesari convertorului A/D `n fiecare caz ? 02.0=

29

Page 30: Prelucrarea digitala a semnalelor

CAPITOLUL 2

SEMNALE I SISTEME DISCRETE 2.1. Semnale discrete Dup\ cum a fost precizat `n capitolul 1, un semnal discret, , este o func]ie a c\rei variabil\ independent\ este un `ntreg [i poate lua orice valoare real\ sau complex\.

[ ]nx

Este de remarcat c\ un semnal discret nu este definit la momente dintre dou\ e[antioane succesive [i este gre[it a considera c\ semnalul

este egal cu zero pentru valori ne`ntregi ale variabilei independente. [ ]nx Obi[nuit, x define[te al k-lea e[antion al semnalului , indiferent dac\ acesta provine din e[antionarea unui semnal analogic sau nu.

[ ]k [ ]nx

Un exemplu de semnal discret este reprezentat `n figura 2.1.

Figura 2.1. Reprezentarea grafic\ a unui semnal discret Pe lâng\ reprezentarea grafic\ a unui semnal discret, mai exist\ câteva moduri de descriere a acestora, care uneori sunt mai convenabile: 1. Reprezentarea func]ional\, de exemplu

30

Page 31: Prelucrarea digitala a semnalelor

[ ]

==

=restîn

nnn

nx,0

6,45,4,3,2,1,

(2.1)

2. Reprezentarea tabelar\, de exemplu

n - - - - - - - - - - -2 -1 0 1 2 3 4 5 6 - - - - - - - -

[ ]nx - - - - - - - - - 0 0 0 1 4 2 0 0 0 - - - - - - - - -

3. Reprezentarea prin secven]e de numere O secven]\ infinit\, cu originea timpului marcat\ prin (↑) este reprezentat\ sub forma

...0, 0, 1, 4, 1, 0, 0... (2.2) [ ] =nx O secven]\ ale c\rei valori sunt nule pentru n < 0, se reprezint\ sub forma

[ ]nx↑

0, 1, 4, 1, 0, 0... (2.3) [ ] =nx ~n acest caz, originea timpului este primul element din stânga al secven]ei [i marcarea sa este op]ional\.

O secven]\ discret\ de durat\ finit\ se reprezint\ ca 3, -1, -2, 5, 0, 4, 1 (2.4) [ ] =nx

unde (↑) reprezint\ originea timpului, adic\ . [ ]0x

2.1.1. Câteva semnale discrete elementare ~n prelucrarea numeric\ a semnalelor intervin adesea câteva semnale de baz\, care vor fi definite dup\ cum urmeaz\: 1. Semnalul impuls unitate, care este descris de

[ ] =

=restîn,00,1 n

nδ (2.5)

[i este reprezentat `n figura 2.2.

31

Page 32: Prelucrarea digitala a semnalelor

Figura 2.2. Reprezentarea grafic\ a impulsului unitate

Impulsul unitate joac\ acela[i rol ca distribu]ia Dirac din cazul semnalelor definite `n timp continuu, dar, spre deosebire de aceasta, δ[n] este o func]ie obi[nuit\, nu o distribu]ie. O secven]\ arbitrar\, cum este cea din figura 2.1, poate fi reprezentat\ ca o sum\ de impulsuri ponderate [i `ntârziate

, k (2.6) [ ] [ ] [ ]∑∞

−∞=−⋅=

kknkxnx δ Z∈

2. Semnalul treapt\ unitate, notat u , este definit de [ ]n

[ ] ∈

=restinNn

nu,0,1

(2.7)

[i este reprezentat `n figura 2.3.

Figura 2.3. Reprezentarea grafic\ a treptei unitate

Leg\tura `ntre treapta unitate [i impulsul unitate este dat\ de rela]ia

[ ] [ ]∑−∞=

=n

kknu δ , k (2.8) Z∈

care arat\ c\ valoarea treptei unitate la momentul n rezult\ prin acumularea valorilor precedente ale impulsului unitate. O alt\ reprezentare a treptei unitate este dat\ de suma de impulsuri unitate `ntârziate

, n (2.8') [ ] [ ]∑∞

=−=

0kknnu δ Zk∈,

32

Page 33: Prelucrarea digitala a semnalelor

Impulsul unitate poate fi reprezentat ca (2.9) [ ] [ ] [ ]1−−= nununδ

3. Semnalul ramp\ unitate, notat uzual cu u [i definit de [ ]nr (2.10) [ ]

=restin,0

, Nnnnur

este reprezentat `n figura 2.4.

Figura 2.4. Reprezentarea grafic\ a semnalului ramp\ unitate

4. Semnalul exponen]ial, definit de

, pentru nε Z (2.11) [ ] nanx =Pentru a ∈ ℜ, este real [i este reprezentat `n figura 2.5 pentru diferite valori ale lui a.

[ ]nx

Dac\ parametrul a este complex, atunci se poate scrie (2.12) 0ωjera ⋅=

unde r [i ω reprezint\ modulul, respectiv faza m\rimii complexe a. ~n acest caz

0

(2.13) [ ] ( njnrernx nnjn00 sincos0 ωωω +== )

Deoarece este complex, se poate reprezenta grafic partea sa real\ [ ]nx (2.14) [ ] nrnx n

R 0cosω=ca func]ie de n [i, de asemenea, partea sa imaginar\

(2.15) [ ] nrnx nI 0sinω=

tot ca func]ie de n. Pentru un semnal complex discret se mai poate reprezenta uneori numai modulul

[ ]nx

[ ] nrnx = , (2.16)

de asemenea, ca func]ie de n. Semnalul exponen]ial poate fi scris ca o sum\ de func]ii sinus [i cosinus ponderate exponen]ial, iar o secven]\ sinusoidal\ ca o sum\ de exponen]iale.

33

Page 34: Prelucrarea digitala a semnalelor

Se observ\ c\ partea real\ [i imaginar\ a lui variaz\ sinusoidal cu n. Faptul c\ n este `ntotdeauna `ntreg conduce la diferen]e importante `ntre propriet\]ile secven]elor exponen]iale complexe [i sinusoidale discrete [i continue. Pentru a p\stra analogia cu cazul analogic, ω reprezint\ pulsa]ia sinusoidei complexe [i se m\soar\ `n radiani/e[antion, iar n – num\rul de e[antioane.

nje 0ω

0

Figura 2.5. Reprezentarea grafic\ a semnalului exponen]ial pentru diverse valori ale lui a

Exponen]ialele complexe sau sinusoidele discrete sunt periodice de perioad\ 2π, deci va fi necesar\ numai considerarea pulsa]iilor din

domeniul fundamental sau . πωπ ≤<− 0 πω 20 0 <≤ 2.2. Clasificarea semnalelor discrete

2.2.1. Semnale de energie finit\ [i semnale de putere finit\

Energia unui semnal se define[te cu rela]ia

[ ]∑∞

−∞==n

nxE 2 (2.17)

34

Page 35: Prelucrarea digitala a semnalelor

Aceast\ m\rime poate fi calculat\ atât pentru semnale reale, cât [i pentru semnale complexe. Dac\ m\rimea E, definit\ de (2.17) este finit\, semnalul se nume[te de energie finit\. Puterea medie a unui semnal discret se define[te cu rela]ia [ ]nx

[ ]∑−=∞→ +

=N

NnNnx

NP 2

121lim (2.18)

Dac\ se define[te energia unui semnal pe un interval finit , ca fiind NnN ≤≤−

[ ]∑−=

=N

NnN nxE 2

(2.19)

atunci, energia sa se poate exprima ca

NNEE

∞→≡ lim (2.20)

[i puterea sa medie

NNE

NP

121lim+

≡∞→

(2.21)

Evident, dac\ E este finit, P = 0. Pe de alt\ parte, dac\ energia unui semnal este infinit\, puterea poate fi finit\ sau infinit\. Dac\ puterea este finit\ ([i diferit\ de zero) semnalul se nume[te de putere finit\.

Exemplul 2.1. S\ se determine puterea semnalului treapt\ unitate. Pentru treapta

unitate, puterea este

( )21

121lim

121lim

0

2 =++

=+

=∞→=∞→

∑ NNnu

NP

N

N

nN,

deci treapta unitate este un semnal de putere finit\. Din expresia energiei se observ\ c\ pentru acest semnal energia este infinit\. Cu defini]iile anterioare, rezult\ c\ semnalul ramp\ unitate, definit de (2.10), nu este nici de putere, nici de energie finit\.

]

2.2.2. Semnale periodice [i neperiodice Un semnal x este periodic, de perioad\ N dac\ [i numai dac\ [ ]n

[ ] [nxNnx =± , pentru n ε Z N `ntreg (2.22) ∀ Cea mai mic\ valoare pozitiv\ a lui N pentru care rela]ia (2.22) este `ndeplinit\ se nume[te perioad\ fundamental\. Dac\ nu exist\ nici o valoare pentru N care s\ satisfac\ rela]ia (2.22), semnalul se nume[te neperiodic sau aperiodic.

35

Page 36: Prelucrarea digitala a semnalelor

Energia unui semnal periodic este finit\ pe o perioad\, , dac\ ia valori finite `n acest interval. Energia

semnalelor periodice, pentru , este infinit\. Puterea medie a semnalelor periodice este finit\ [i este egal\ cu puterea medie pe o perioad\, dac\ valorile semnalului `n acest interval sunt finite.

10 −≤≤ Nn [ ]nx∞<<∞− n

[ ]∑−

==

1

0

21 N

nnx

NP (2.23)

Evident, semnalele periodice ce pot lua numai valori finite sunt de putere finit\.

2.2.3. Semnale pare (simetrice) [i impare (antisimetrice) Un semnal real este par, dac\ [ ]nx

[ ] [nxnx =− ]

]

(2.24) [i impar, dac\

[ ] [nxnx −=− (2.25) Se observ\ c\ pentru semnale impare . [ ] 00 =x

~n figura 2.6 sunt prezentate dou\ semnale, unul par (a) [i unul impar (b).

Figura 2.6. Exemple de semnal par (a) [i impar (b)

Orice semnal discret poate fi exprimat ca suma a dou\ componente, una par\ [i una impar\. ~ntr-adev\r, dac\ se define[te

[ ]nx

[ ] [ ] [ ][ nxnxnxe −+=21 ] (2.26)

unde satisface condi]ia de simetrie (2.24) [i [ ]nxe

36

Page 37: Prelucrarea digitala a semnalelor

[ ] [ ] [ ][ nxnxnxo −−=21 ] (2.27)

unde satisface rela]ia (2.25), rezult\ [ ]nxo[ ] [ ] [ ]nxnxnx oe += (2.28)

2.3. Opera]ii simple cu semnale discrete ~n acest paragraf vor fi considerate câteva opera]ii simple efectuate asupra variabilei independente [i a amplitudinii semnalului discret.

Transform\ri ale variabilei independente Deplasarea `n timp a semnalului. Un semnal poate fi

deplasat `n timp prin `nlocuirea variabilei independente n cu n , unde Z. Pentru , deplasarea `n timp are ca rezultat o `ntârziere a

semnalului cu k unit\]i de timp. Dac\ , deplasarea `n timp determin\ un avans al semnalului cu

[ ]nxk−

∈k 0>k0<k

k unit\]i de timp.

Exemplul 2.2. Fie semnalul reprezentat `n figura 2.7.a. S\ se reprezinte

semnalele [i . Semnalele [i respectiv sunt reprezentate `n figurile 2.7.b [i 2.7.c.

[ ]nxnx[ ]3−nx [ 2+ ] ][ 3−nx [ ]2+nx

Dac\ semnalul x este stocat pe un mediu oarecare este relativ simplu de a modifica originea timpului prin introducerea unei `ntârzieri sau a unui avans. Dac\, `ns\, semnalul este generat de un fenomen fizic ce se desf\[oar\ `n timp real, nu este posibil\ realizarea unui avans, deoarece acest lucru implic\ e[antioane ce nu au fost `nc\ generate.

[ ]n

Figura 2.7. Reprezentarea grafic\ a semnalului x[n] – (a), a versiunii sale `ntârziate cu 3 unit\]i – (b) [i `n avans cu 2 unit\]i – (c)

37

Page 38: Prelucrarea digitala a semnalelor

Reflectarea semnalului. O alt\ modificare a variabilei independente, necesar\ `n aplica]ii, este aceea de a `nlocui variabila n cu –n, opera]ie numit\ reflectare (folding) a semnalului `n raport cu axa ordonatelor. Aceast\ opera]ie este ilustrat\ `n figura 2.8.

Figura 2.8. Ilustrarea grafic\ a opera]iei de reflectare

Multe din opera]iile realizate `n PNS implic\ reflectarea [i deplasarea `n timp. Opera]iile de reflectare [i deplasare `n timp nu sunt comutative. Dac\ se noteaz\ opera]ia de deplasare `n timp cu TD [i cea de reflectare cu TF, se poate scrie

0],[]][[ >−= kknxnxTDk

][]][[ nxnxTF −=

][]][[]][[ knxnxTDnxTFTD kk +−=−= `n timp ce

][]][[]][[ knxknxTFnxTDTF k −−=−=

Exemplul 2.3. Fie semnalul reprezentat `n figura 2.9. S\ se reprezinte

semnalul ob]inut prin reflectarea [i deplasarea spre dreapta cu 2 unit\]i a semnalului , precum [i cel ob]inut prin deplasarea spre dreapta cu 2 unit\]i [i apoi reflectarea semnalului .

][nx

][nx][nx

Solu]ie. ~n figura 2.9 s-au reprezentat semnalele ,

adic\ reflectat, , adic\ reflectat [i deplasat 2

][][1 nxnx −=][nx ]2[][2 +−= nxnx ][nx

unit\]i spre dreapta, , adic\ deplasat cu 2 unit\]i spre

dreapta [i , adic\ deplasat 2 unit\]i spre dreapta [i apoi reflectat.

]2[][3 −= nxnx]2−−n x

][nx[][4 = xnx ][n

38

Page 39: Prelucrarea digitala a semnalelor

Figura 2.9. Ilusrarea necomutativit\]ii opera]iilor de deplasare `n timp [i reflectare

Decimarea semnalului. Opera]ia de decimare a semnalului const\

`n `nlocuirea variabilei independente n cu Mn, unde , adic\ NM ∈][][ MnxnxM =↓ (2.29)

Aceast\ opera]ie se mai nume[te de scalare a axei timpului sau sube[antionare [i este ilustrat\ `n figura 2.10a, pentru M=2.

Semnalul are o "derulare" mai rapid\ decât . ]2[][2 nxnx =↓ ][nxInterpolarea semnalului. Opera]ia de interpolare conduce la

ob]inerea unui semnal cu "derulare mai lent\", dat de rela]ia

=↑

restîn,0

n,pedivideLdaca,][ NLLnxnxL (2.30)

prin introducerea a L-1 valori nule `ntre dou\ e[antioane consecutive ale semnalului . Aceast\ opera]ie este ilustrat\ `n figura 2.10b, pentru L=2.

][nx

39

Page 40: Prelucrarea digitala a semnalelor

Figura 2.10. Ilustrarea opera]iilor de a) decimare, b) interpolare

Sumarea, multiplicarea [i scalarea secven]elor Suma a dou\ semnale [i este un semnal ale c\rui

valori la un anumit moment sunt egale cu suma valorilor semnalelor implicate `n sum\ la acel moment

[ ]nx1 [ ]nx2 [ ]ny

[ ] [ ] [ ]nxnxny 21 += , n (2.31) Z∈

Produsul a dou\ secven]e se ob]ine efectuând produsul e[antion cu e[antion al secven]elor

[ ] [ ] [ ]nxnxny 21 ⋅= , n (2.32) Z∈Scalarea amplitudinii unui semnal cu o constant\ A se realizeaz\

prin multiplicarea valorii fiec\rui e[antion al semnalului cu constanta A [ ] [ ]nxAny ⋅= , n (2.33) Z∈

2.4. Sisteme discrete

Un sistem discret este un dispozitiv sau un algoritm care opereaz\ asupra unui semnal discret, numit intrare sau excita]ie, conform unor reguli bine definite, pentru a produce un alt semnal discret, numit ie[irea sau r\spunsul sistemului. Semnalul de intrare este transformat de sistemul discret `n

semnalul de ie[ire , conform rela]iei

[ ]nx[ ]ny

[ ] [ ][ nxHny ≡ ] (2.34)

40

Page 41: Prelucrarea digitala a semnalelor

unde H reprezint\ transformarea (numit\ uneori [i operator) sau procesarea realizat\ de sistem asupra lui pentru a produce . Grafic, rela]ia (2.34) este reprezentat\ `n figura 2.11.

[ ]nx [ ]ny

Figura 2.11. Reprezentarea unui sistem `n timp discret ~n continuare se va face referire numai la sisteme cu o singura intrare [i o singura ie[ire. Exist\ mai multe moduri de a caracteriza un sistem discret [i a descrie opera]ia pe care el o execut\ asupra intr\rii pentru a ob]ine r\spunsul sistemului. Unul dintre acestea const\ `n descrierea sistemului printr-o rela]ie intrare – ie[ire, ignorându-se detaliile de structur\ intern\ sau de realizare a sistemului, acesta fiind v\zut ca o "cutie neagr\". Aceast\ situa]ie este descris\ de nota]ia

[ ] [ ]nyHnx → (2.34') echivalent\ cu (2.34).

Exemplul 2.4. Rela]ia intrare – ie[ire este exemplificat\ prin urm\toarele

sisteme, `n care semnalul de intrare se consider\ a fi

[ ] ≤≤−

=restin,0

33, nnnx

a) [ ] [ ]nxny =b) [ ] [ ]1−= nxnyc) [ ] [ ]1+= nxny

d) [ ] [ ] [ ] [[ ]1131

−+++= nxnxnxny ]

e) [ ] [ ] [ ] [ ] 1,,1max −+= nxnxnxny

f) (2.35) [ ] [ ] [ ] [ ] [ ] ....21 +−+−+== ∑−∞=

nxnxnxkxnyn

k

Semnalul de intrare poate fi scris explicit sub forma secven]ei

41

↑ [ ] =nx ...0, 3, 2, 1, 0, 1, 2, 3, 0...

Page 42: Prelucrarea digitala a semnalelor

Ie[irea sistemelor este

a) ...0, 3, 2, 1 0, 1, 2, 3, 0... [ ]=ny

Se observ\ c\ nume[te identita

b) ...0, 3, 2 1[ ]=ny ~n acest caz siste

c) ...0, 3, 2, 1[ ]=ny

Acest sistem "avun e[antion.

d) ...0, 1, [ ]=ny35

, 2

~n acest caz, sist

prezent, trecut [i urm\to

e) ..0, 3, 3, 3,[ ]=ny

Acest sistem select, [i .[ ]1−nx [ ]nx [ ]1+nx

f) ..0, 3, 5, 6,[ ]=ny

Acest sistem ee[antioanelor trecute pâ Pentru unele dinobserv\ c\ ie[irea la un

la (adic\ )

dup\ . 0nn =

0n[ 0nx ]

~n exemplul acupoate fi rescris\ echival

↑,

ie[irea este identic\ cu intrarea [i sistemul se te.

, 0, 1, 2, 3, 0...

↑,

mul `ntârzie cu un e[antion semnalul de intrare.

↑ , 0, 1, 2, 3, 0...

anseaz\" sau anticipeaz\ semnalul de intrare cu

, 1, , 1, 2, 35

, 1, 0... 32

↑ emul realizeaz\ media aritmetic\ a e[antionulului r pentru fiecare moment de timp.

2, 1, 2, 3, 3, 3, 0...

↑ eaz\ la fiecare moment n valoarea maxim\ dintre

↑ 6, 7, 9, 12, 0...

ste un acumulator, calculând suma tuturor n\ la momentul respectiv. sistemele considerate `n exemplele precedente se moment nu depinde numai de intrarea de

, ci [i de valorile intr\rii la momente dinainte [i 0nn =

mulatorului rela]ia intrare – ie[ire care `l define[te ent sub forma

42

Page 43: Prelucrarea digitala a semnalelor

[ ] [ ] [ ] [ ] [ ] [ ]nxnynxkxkxnyn

k

n

k+−=+== ∑∑

−∞=−∞=1

1 (2.35')

care justific\ numele de acumulator, sistemul calculând valoarea curent\ a ie[irii prin adunarea (acumularea) valorii curente a intr\rii la valoarea precedent\ a ie[irii. Pentru acest exemplu se presupune c\ semnalul de intrare este cunoscut pentru [i se dore[te s\ se determine ie[irea pentru

. 0nn ≤ [ ]ny

0nn ≥Pentru , , ... , rela]ia (2.35) devine 0nn = 10 +n

[ ] [ ] [ ]000 1 nxnyny +−= ,

[ ] [ ] [ 11 000 ++=+ nxnyny ]

] ]

]

]

]

[. a. m. d.

Se observ\ c\ `n calculul lui intervine , care se poate calcula cu rela]ia

[ 0ny [ 10 −ny

, [ ] [∑−

−∞==−

1

0

0

1n

kkxny

adic\ reprezint\ efectul tuturor intr\rilor anterioare momentului

asupra sistemului. R\spunsul sistemului pentru n la semnalul de

intrare aplicat la momentul depinde de semnalul de intrare la

momentul [i toate intr\rile aplicate anterior. ~n consecin]\, pentru

nu este unic determinat de intrarea pentru .

[ 10 −ny

[ ]nx0n

0

0n

n ≥

0n≥

nn ≥

0n[ ]ny

n [ ]nx 0

Informa]ia suplimentar\ necesar\ determin\rii lui pentru

este condi]ia ini]ial\ , care sintetizeaz\ efectul intr\rilor

anterioare asupra sistemului. Condi]ia ini]ial\ `mpreun\ cu

secven]a de intrare pentru vor determina `n mod unic

secven]a de ie[ire pentru .

[ ]ny

]0nn ≥ [ 10 −ny

n ≥

0nn ≥

[ 10 −ny[ ]nx

]0n

[ny Dac\ acumulatorul nu a avut nici o excita]ie `nainte de ,

condi]ia ini]ial\ , caz `n care sistemul se zice c\ este ini]ial

relaxat. ~n acest caz, ie[irea depinde numai de secven]a de intrare

pentru .

0n[ ] 010 =−ny

0n[ ]ny

[ ]nx n ≥

43

Page 44: Prelucrarea digitala a semnalelor

De obicei, sistemele se consider\ relaxate la . Dac\ intrarea se aplic\ unui sistem de la , ie[irea sistemului este unic determinat\ de secven]a de intrare.

−∞=n−∞=n

Se observ\, `n cazul acumulatorului, ca pentru a determina `n mod unic secven]a de ie[ire pentru , este necesar\ o singur\ condi]ie ini]ial\. ~n general, pentru sisteme discrete, informa]ia suplimentara constituit\ de setul de valori , ,…

necesare determin\rii ie[irii pentru , la secven]a

de intrare pentru , poart\ numele de condi]ii ini]iale. Dac\ acestea sunt nule, sistemul este ini]ial relaxat. Aceste no]iuni vor fi reluate `n paragraful 2.5, unde se va introduce descrierea sistemelor discrete cu ajutorul ecua]iilor cu diferen]e.

[ ]ny

0n≥

0nn ≥

[ ]ny

[ ]10 −nynn ≥

[ ]20 −ny[ Nny −0 ] 0

[ ]nx n

Exemplul 2.5. Se consider\ acumulatorul descris de (2.35) excitat de secven]a

. S\ se determine secven]a de ie[ire `n condi]iile: [ ] [ ]nunnx ⋅=a) sistemul este ini]ial relaxat ( ); [ ] 01 =−yb) . [ ] 11 =−yIe[irea sistemului este definit\ ca

[ ] [ ] [ ] [ ] [ ] [ ]∑∑∑∑==

−∞=−∞=+−=+==

n

k

n

kk

n

kkxykxkxkxny

00

11

Dar [ ] ( )2

10

+=∑

=

nnkxn

k

a) Dac\ [ ] [ ] ( )2

101 +=→=−

nnnyy , ; 0≥n

b) Dac\ [ ] [ ] ( )2

22

11112 ++

=+

+=→=−nnnnnyy , . 0≥n

2.4.1. Reprezentarea simbolic\ a sistemelor discrete Opera]iile asupra semnalelor discrete reprezentate prin secven]e sunt realizate de sisteme discrete a c\ror reprezentare simbolic\ este dat\ `n continuare.

44

Page 45: Prelucrarea digitala a semnalelor

Sumator.

Figura 2.12. Reprezentarea simbolic\ a sumatorului Multiplicator cu o constant\

Figura 2.13. Reprezentarea simbolic\ a multiplicatorului cu o constant\

Multiplicator de semnal

Figura 2.14. Reprezentarea simbolic\ a multiplicatorului

Element de `ntârziere

Figura 2.15. Reprezentarea simbolic\ a unui element de `ntârziere Element de anticipare

Figura 2.16. Reprezentarea grafic\ a unui element de anticipare

Observa]ie. Opera]ia de anticipare este nerealizabil\ fizic `ntr-un sistem de timp real.

Exemplul 2.6. Cu ajutorul blocurilor constructive prezentate anterior s\ se

reprezinte diagrama bloc a sistemului discret descris de

45

Page 46: Prelucrarea digitala a semnalelor

[ ] [ ] [ ] [ 225121

−++−= nxnxnyny ].

Solu]ie. Din rela]ia intrare – ie[ire care caracterizeaz\ sistemul se observ\ c\ acesta poate fi implementat cu ajutorul a 3 multiplicatoare, 2 sumatoare [i 3 elemente de `ntârziere.

Figura 2.17. Reprezentarea diagramei bloc pentru sistemul din exemplul 2.6. 2.4.2. Clasificarea sistemelor discrete ~n analiza [i proiectarea sistemelor discrete este de dorit clasificarea lor `n func]ie de propriet\]ile generale pe care acestea le au. Din acest motiv este necesar\ specificarea unor propriet\]i ale acestora care pot fi folosite `n descrierea caracteristicilor lor generale.

2.4.2.1. Sisteme discrete statice [i dinamice Un sistem discret se nume[te static sau f\r\ memorie dac\ ie[irea sa la un moment oarecare n depinde numai de intrarea din acel moment. ~n caz contrar, sistemul se nume[te dinamic sau cu memorie. Dac\ ie[irea unui sistem la un moment n este complet determinat\ de intr\rile

( N ), se spune c\ acesta are memorie de ordinul N. Dac\ N este finit, sistemul este cu memorie finit\, iar dac\ sistemul are memorie infinit\.

][],...,[ nxNnx − 0≥,∞=N

Exemple de sisteme statice (f\r\ memorie) a) ay ; [ ] [ ]nxn =

b) ny . [ ] [ ] [ ]nxbnxn 3+=Exemple de sisteme dinamice (cu memorie) c) xy ; [ ] [ ] [ ]13 −+= nxnn

d) ∑y ; [ ] [ ]=

−=N

kknxn

0

46

Page 47: Prelucrarea digitala a semnalelor

e) ∑y . [ ] [ ]∞

=−=

0kknxn

Sistemele c) [i d) au memorie finit\, `n timp ce e) are memorie infinit\. Se observ\ c\ sistemele statice sunt descrise `n general de o rela]ie intrare – ie[ire de forma

[ ] [ ][ nnxFny ,= ]

]

]]] ]

]

]]

]

(2.36) [i nu includ elemente de `ntârziere (memorie).

2.4.2.2. Sisteme discrete invariante [i variante `n timp Sistemele pot fi `mp\r]ite `n dou\ mari categorii:

- sisteme invariante `n timp; - sisteme variante `n timp. Prin defini]ie, un sistem relaxat, descris de operatorul H este

invariant `n timp dac\ [i numai dac\

[ ] [ ]nynx →H implic\

[ ] [ knyHknx −→− (2.37)

pentru orice semnal de intrare [i orice deplasare k. [ ]nx Pentru a determina dac\ un sistem este sau nu invariant `n timp se procedeaz\ `n felul urm\tor: Se consider\ o intrare arbitrar\ , care va produce r\spunsul . Se `ntârzie semnalul de intrare cu k unit\]i [i se recalculeaz\ ie[irea. ~n general, aceasta se poate scrie

[ ]nx [ ]ny

[ ] [[ knxHkny −=, (2.38)

Dac\ ie[irea este egal\ cu pentru toate valorile lui k,

sistemul este invariant `n timp. ~n caz contrar, dac\ , chiar pentru o singur\ valoare a lui k, sistemul este variant `n timp.

[ kny , [ kny −[ ] [ knykny −≠,

Exemplul 2.7. S\ se determine dac\ sistemele descrise de urm\toarele rela]ii

intrare – ie[ire sunt sau nu variante `n timp. a) Hy ; [ ] [ ][ ] [ ] [ 1−−== nxnxnxn b) y ; [ ] [ ][ ] [nxnnxHn ⋅== c) xy . [ ] [ ] nnn 0cosω=a) [ ] [ ][ ] [ ] [ 1, −−−−=−= knxknxknxHkny

47

Page 48: Prelucrarea digitala a semnalelor

[ ] [ ] [ 1−−−−=− knxknxkny ]]

]

]

]

]]

]

]

Deoarece , rezult\ c\ sistemul este invariant `n timp. [ ] [ knykny −=,b) [ ] [ ][ ] [ knxnknxHkny −⋅=−=, [ ] ( ) [ ]knxknkny −⋅−=−Se observ\ c\ , rezult\ c\ sistemul este variant `n timp. [ ] [ knykny −≠,c) [ ] [ ][ ] [ ] nknxknxHkny 0cos, ω−=−= [ ] [ ] ( )knknxkny −ω−=− 0cosDeoarece , rezult\ c\ sistemul este variant `n timp. [ ] [ knykny −≠,

2.4.2.3. Sisteme discrete liniare [i neliniare Prin defini]ie, un sistem discret este liniar, dac\ satisface

principiul superpozi]iei. Cu alte cuvinte, `n acest caz, r\spunsul sistemului la o sum\ ponderat\ de semnale de intrare este egal cu suma r\spunsurilor sistemului la fiecare din semnalele de intrare, ponderate corespunz\tor, adic\ un sistem discret, relaxat, caracterizat de operatorul H este liniar, dac\

[ ] [ ][ ] [ ][ ] [[ nxHanxHanxanxaH 22112211 +=+ (2.39)

pentru orice secven]e de intrare arbitrare [i [i pentru orice

constante arbitrare [i a .

[ ]nx1 [ ]nx2

1a 2

Rela]ia (2.39) implic\ propriet\]ile de scalare [i aditivitate ale sistemelor liniare [i poate fi extins\ la orice combina]ie ponderat\ de semnale de intrare, pe baza induc]iei. ~ntr-adev\r, dac\ se presupune c\

[ ] [ ] [ ] [ ]∑∑−

=

==→=

1

1

1

1

M

kkk

M

kkk nyanyHnxanx

unde , k = 1, 2, ... , este adev\rat\ pentru semnale, atunci pentru semnalul

[ ] [ ][ nxHny kk = 1−M 1−M

, [ ] [ ] [ ]nxanxnxa MM

M

kkk +=∑

=1ie[irea sistemului este

[ ] [ ] [ ][ ] [ ][ ] [[ ]

[ ] [ ] [ ]∑∑

=

=

=

=+=

+=+=

M

kkkMM

M

kkk

MMMM

M

kkk

nyanyanya

nxHanxHnxanxHnxaH

1

1

1

1

48

Page 49: Prelucrarea digitala a semnalelor

~n general, din (2.39) se observ\ c\ un sistem relaxat, liniar, cu intrarea zero produce ie[irea nul\. Dac\ un sistem produce o ie[ire diferit\ de zero la intrare zero, el este fie nerelaxat, fie neliniar. Dac\ un sistem discret nu satisface principiul superpozi]iei, el se nume[te neliniar.

Exemplul 2.8. S\ se determine dac\ sistemele descrise de urm\toarele rela]ii

intrare – ie[ire sunt sau nu liniare. a) Hy ; [ ] [ ][ ] [nxnnxn ⋅== ] b) [ ] [ ][ ] [ ]2nxnxn == Hy ;

c) Hy . [ ] [ ][ ] [nxnxn 2== ]

[ ]

Solu]ie. a) Pentru dou\ secven]e de intrare [i , ie[irile

corespunz\toare sunt [ ]nx1 [ ]nx2

[ ] [ ]nxnny 11 ⋅= [ ] [ ]nxnny 22 ⋅= O combina]ie liniar\ a celor dou\ semnale de intrare are ca rezultat ie[irea

[ ] [ ] [ ][ ] [ ][ ][ ] [ ] [ ] [ ]nyanyanxnanxna

nxanxannxanxaHny

22112211

221122113

+=+=+=+=

care este o combina]ie liniar\ a ie[irilor corespunz\toare, deci sistemul este liniar.

b) [ ] [ ]211 nxny = , [ ] [ ]2

22 nxny =

[ ] [ ] [ ][ ] [ ] [ ] [ ] [ ]nyanyanxanxanxanxaHny 22112

222

1122113 +=+=+= deci sistemul este liniar.

c) , [ ] [ ]nxny 211 = [ ] [ ]nxny 2

22 =

[ ] [ ] [ ][ ] [ ] [ ] [ ] [ ]][][2][][

2

21212221

21

22

222121

21

2122113

nxnxaanyanya

nxanxnxaanxanxanxaHny

++=

=++=+=

Combina]ia liniar\ corespunz\toare a ie[irilor [i este [ ]ny1 [ ]ny2

[ ] [ ] [ ] [ ] [ ]nynxanxanyanya 3222

2112211 ≠+=+ ,

deci sistemul este neliniar. 49

Page 50: Prelucrarea digitala a semnalelor

2.4.2.4. Sisteme discrete cauzale [i necauzale Un sistem discret este cauzal dac\ ie[irea sa la un moment n,

, depinde numai de valoarea prezent\ [i cele trecute ale intr\rii

( ...) [i de nici o valoare viitoare ( ...). Matematic, ie[irea unui sistem cauzal poate fi scris\ sub forma

[ ]ny[ ],nx [ ],1−nx [ ] [ ,2,1 ++ nxnx ]

][ ] [ ] [ ] [ ][ ...,2,1, −−= nxnxnxFny (2.40)

unde este o func]ie arbitrar\. Un sistem discret care nu satisface rela]ia (2.40) se nume[te necauzal. Un sistem necauzal nu este realizabil fizic.

[ ]⋅F

Exemplul 2.9. S\ se stabileasc\ dac\ sistemele descrise de urm\toarele rela]ii

intrare – ie[ire sunt sau nu cauzale. a) xy ; [ ] [ ] [ ]1−−= nxnn b) xy ; [ ] [ ] [ ]nxnn −+= 1 c) [ ] [ ]2nn = xy ;

d) xy . [ ] [ ]nn −=Solu]ie a) cauzal; b) necauzal; c) necauzal; d) necauzal (de exemplu, pentru ). [ ] [11,1 xyn =−−= ]Prin analogie cu sistemele cauzale, se definesc secven]ele cauzale cele care sunt egale cu zero pentru n<0. ~n caz contrar, ele se numesc necauzale. Dac\ o secven]\ este diferit\ de zero numai pentru n<0, aceasta se nume[te pur necauzal\.

2.4.2.5. Sisteme discrete stabile [i instabile Stabilitatea este o proprietate important\ care trebuie avut\ `n

vedere `n orice aplica]ie practic\. Un sistem oarecare, relaxat, se spune c\ este stabil `n sens MIME (M\rginit la Intrare M\rginit la iE[ire), dac\ [i numai dac\ orice semnal de intrare limitat produce un semnal de ie[ire limitat (se mai folose[te acronimul englezesc BIBO de la Bounded Input – Bounded Output, `n specificarea sistemelor stabile). Matematic, aceasta se poate scrie dac\ [ ] ;∞<≤ xMnx atunci [ ] ∞<≤ yMny (2.41)

50

Page 51: Prelucrarea digitala a semnalelor

Implica]iile pe care le are stabilitatea pentru sistemele liniare invariante `n timp vor fi discutate `n paragraful 2.4.7. Se spune c\ un sistem cauzal [i stabil este realizabil. ~n caz contrar este nerealizabil. Alte clasific\ri ale sistemelor discrete `n func]ie de r\spunsul la impuls [i de modul de implementare vor fi prezentate `n paragrafele 2.4.8 [i 2.4.9, dup\ introducerea descrierii sistemelor discrete cu ajutorul ecua]iilor cu diferen]e [i a sumei de convolu]ie.

2.4.3. Analiza sistemelor discrete, liniare, invariante `n timp (SDLIT) Suma de convolu]ie

~n continuare se vor trata sisteme discrete, liniare, invariante `n timp, pentru care se va ar\ta c\ sunt complet caracterizate `n domeniul timp de r\spunsul la impuls. Exist\ dou\ metode de baz\, folosite `n analiza r\spunsului sistemelor discrete liniare la un semnal de intrare dat. Una se bazeaz\ pe ob]inerea solu]iei din ecua]ia intrare – ie[ire care caracterizeaz\ sistemul, care are, `n general, forma

[ ] [ ] [∑∑==

−+−−=M

kk

N

kk knxbknyany

01]

(2.42)

unde [i b sunt parametri constan]i care caracterizeaz\ sistemul [i

independen]i de [i . Rela]ia (2.42) se nume[te ecua]ie cu diferen]e a sistemului discret, liniar, invariant `n timp.

ka k

[ ]nx [ ]ny

A doua metod\ se bazeaz\ pe folosirea r\spunsului la impuls al sistemului. Ca o consecin]\ a propriet\]ilor de liniaritate [i invarian]\ `n timp, r\spunsul sistemului la un semnal de intrare arbitrar poate fi exprimat `n func]ie de r\spunsul s\u la impuls cu ajutorul sumei de convolu]ie. Pentru determinarea r\spunsului unui sistem liniar la un semnal de intrare dat, acesta se descompune `ntr-o sum\ de semnale elementare componente [i, folosind proprietatea de liniaritate a sistemului, r\spunsurile sistemului la semnalele elementare se sumeaz\ pentru a forma r\spunsul total. Orice semnal x poate fi descompus `ntr-o sum\ de impulsuri scalate [i `ntârziate, conform rela]iei (2.6). De exemplu, secven]a

poate fi scris\ sub forma

[ ]n

[ ] 3,0,4,2=nx[ ] [ ] [ ] [ ]23412 −δ+δ++δ= nnnnx ↑

51

Page 52: Prelucrarea digitala a semnalelor

Se define[te r\spunsul sistemului la un impuls aplicat la

momentul cu rela]ia

[ kny , ]

]

]

]

kn −[ ] [ ] [ ][ knHknhkny −δ=≡ ,, (2.43)

~n (2.43), n desemneaz\ timpul, iar k arat\ localizarea `n timp a impulsului de intrare. Considerând semnalul de intrare dat de (2.6), r\spunsul sistemului va fi

[ ] [ ] [ ]

−δ= ∑∞

−∞=kknkxHny (2.44)

Sistemul discret fiind liniar, conform principiului superpozi]iei, rezult\

[ ] [ ] [ ] [ ] [ ]∑∑∞

−∞=

−∞==−δ=kk

knhkxknHkxny , (2.45)

Dac\ sistemul discret liniar este [i invariant `n timp, adic\ dac\

[ ] [ ][ ] [ ],

atunci

knhHkn

nhHn

−→−δ

→δ (2.46)

rela]ia (2.45) devine

[ ] [ ] [ ]∑∞

−∞=−=

kknhkxny (2.47)

Rela]ia (2.47) este cunoscut\ sub denumirea de sum\ de convolu]ie [i din aceasta rezult\ c\, dac\ pentru un sistem discret liniar invariant `n timp se cunoa[te r\spunsul la impuls, se poate deduce r\spunsul sistemului la orice secven]\ de intrare. Func]ia h se mai nume[te func]ie pondere.

[ ]n

Pentru calculul ie[irii la un anumit moment se efectueaz\ urm\toarele opera]ii elementare:

0nn =

1. Reflectarea. R\spunsul la impuls este "reflectat" fa]\ de k

pentru a ob]ine .

[ ]kh 0=[ ]kh −

2. Deplasarea `n timp. Se deplaseaz\ cu unit\]i de timp spre

dreapta (stânga) dac\ n este pozitiv (negativ) pentru a ob]ine

.

[ kh − 0n

0

[ ]knh −0

3. Multiplicarea. Se `nmul]e[te cu pentru a produce

secven]e de tipul v .

[ ]kx[n −0

[ knh −0

[ ] [ ] ]khkxkn ≡0

4. Sumarea. Se sumeaz\ toate valorile secven]ei produs v pentru a

se ob]ine ie[irea la momentul .

[ ]kn0

0nn = 52

Page 53: Prelucrarea digitala a semnalelor

Pa[ii 2÷4 trebuie repeta]i pentru toate valorile posibile ale lui pentru a

ob]ine pentru . Opera]ia de convolu]ie este simetric\, adic\ nu conteaz\ care din cele dou\ secven]e este reflectat\ [i deplasat\. ~ntr-adev\r, dac\ `n (2.47) se efectueaz\ schimarea de variabil\ m=n-k, atunci k=n-m,

0n][ny ∞<<∞− n

[ ] [ ] [ ]∑∞

−∞=−=

mmhmnxny (2.48)

Revenind la indexul k, se ob]ine

[ ] [ ] [ ]∑∞

−∞=−=

kkhknxny (2.48')

rela]ie care arat\, de fapt, c\ suma de convolu]ie este comutativ\.

Exemplul 2.10. S\ se determine r\spunsul sistemului care are r\spunsul la impuls

[ ]

=−==−=

=

.rest`n,02,11,10,21,1

nnnn

nh la intrarea [ ]

===

=

.restin,02,31,20,1

nnn

nx

Solu]ie. Conform pa[ilor descri[i anterior, se calculeaz\ `ntâi . [ ]kh −

[ ] ...,0,1,2,1,1,0,... −=− kh

[ ] [ ] [ ] [ ] [ ] [ ] [ ] 411000 =−+=−= ∑∞

−∞=hxhxkhkxy

k

[ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ] 812011011 =−++=−= ∑∞

−∞=hxhxhxkhkxy

k

[ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ] 702112022 =++=−= ∑∞

−∞=hxhxhxkhkxy

k

[ ] [ ] [ ] [ ] [ ] [ ] [ ] 1122133 =+=−= ∑∞

−∞=hxhxkhkxy

k

[ ] [ ] [ ] [ ] [ ] 32244 −==−= ∑∞

−∞=hxkhkxy

k

53

Page 54: Prelucrarea digitala a semnalelor

[ ] [ ] [ ] [ ] [ ] 11011 =−=−−=− ∑∞

−∞=hxkhkxy

k;

↑ [ ]=ny ...,0, 1, 4, 8, 7, 1, -3, 0,...

Fig. 2.19. Convolu]ia unui semnal discret de durat\ infinit\ cu un semnal discret de durat\ finit\.

Fig. 2.18. Convolu]ia a dou\ semnale de durat\ finit\ N1 [i N2. Convolu]ia are durata N1+ N2-1 54

Page 55: Prelucrarea digitala a semnalelor

Grafic, rezultatele anterioare pot fi urm\rite pe figura 2.18. se deplaseaz\ cu n unit\]i. Pentru n>0, deplasarea este spre dreapta [i pentru n<0, spre stânga. Conform pa[ilor prezenta]i anterior, pentru o valoare n dat\, se efectuaz\ produsele dintre [i , e[antion cu e[antion, [i apoi se sumeaz\.

][ kh −

][kx ][ knh −

Dac\ semnalele au durat\ finit\, având N1, respectiv N2 e[antioane, cuprinse `ntre -N3 [i -N3+N1-1, respectiv -N4 [i -N4+N2-1, convolu]ia lor are durat\ finit\, având N1+N2-1 e[antioane, produsul fiind zero pentru to]i k atunci când n < -(N

][][ knhkx −4+N3) [i n>-N4+N2-1-N3+N1-1. ~n

cazul exemplului considerat, N1=3, N2=4, N3=0, N4=1 [i produsele sunt zero pentru n<-1 [i n>4. Prin urmare, nu se pune

problema convergen]ei sumei de convolu]ie, dac\ ambele semnale au durat\ finit\ [i, evident, fiecare din semnale este m\rginit

][][ knhkx −

,Zn∈,][ 1Mnx ∀≤ ZnMnh ∈∀≤ ,][ 2 .

Exemplul 2.11. S\ se determine convolu]ia dintre semnalul de durat\

nem\rginit\ , [i , care este nenul doar pentru 0, 1, 2, N

][nx][][ nuanx n= ][][][ 2Nnununh −−=

2-1, adic\ are lungimea N2. Solu]ie. Convolu]ia acestor semnale este ilustrat\ `n figura 2.19.

Unul din semnale fiind de durat\ nem\rginit\, [i convolu]ia va avea durat\ infinit\ [i, prin urmare, se va pune problema convergen]ei sumei ce reprezint\ convolu]ia pentru toate valorile lui n.

Din figur\ se observ\ c\ pentru n<0, . ∑∞

−∞==−

kknhkx 0][][

Dac\ 0 n <≤ , 2N ( )aaanhxnn

k

k

−−

==∗+

=∑ 1

1][1

0.

Dac\ Nn ≥ , 2 ( )aaaanhxN

Nnn

Nnk

k

−−

==∗ +−

+−=∑ 1

1][2

2

2

1

1.

2.4.4. Propriet\]ile sistemelor discrete, liniare, invariante `n timp [i interconectarea acestora

Deoarece r\spunsul unui SDLIT este dat de o sum\ de convolu]ie, propriet\]ile acestei clase de sisteme sunt definite de propriet\]ile sumei de convolu]ie discrete, care este comutativă şi asociativ\.

55

Page 56: Prelucrarea digitala a semnalelor

1. Comutativitatea sistemelor [i conectarea lor `n cascad\. Propriet\]ile de comutativitate [i asociativitate ale sumei de convolu]ie conduc la comutativitatea SDLIT. Pentru a ilustra acest lucru, se consider\ sistemele din figura 2.20a [i b.

( )( ) ].[][][][][][])[][(][

])[][(][][][][][][][

2121212

2121211

nynhnxnhnhnxnhnhnxnhnhnxnhnhnxnhnxny

=∗=∗∗=∗∗=∗∗=∗∗=∗=

(2.50)

Sistemele din figura 20 a [i b sunt echivalente cu sistemul din figura 20 c. Se constat\ c\ `ntr-o cascad\ de sisteme discrete, liniare, invariante `n timp nu conteaz\ locul acestora `n cascad\, deoarece, indiferent de pozi]ia acestora, pentru acela[i semnal de intrare se ob]ine acela[i semnal de ie[ire. Ca o consecin]\ a propriet\]ii de comutativitate [i asociativitate, r\spunsul la impuls al unei cascade de SDLIT este independent de ordinea sistemelor `n cascad\.

Figura 2.20. Ilustrarea propriet\]ii de comutativitate a SDLIT

2. Impulsul unitate este element neutru pentru suma de convolu]ie

[ ]nδ

[ ] [ ] [ ] [ ]

[ ] [ ] [ ] [ ] [ ] [ ] [ ]nxnxnxnx

knkxnnxk

=+−+++−=

=−=∗ ∑∞

−∞=

...11011... δδδ

δδ (2.51)

deci, dac\ la intrarea unui sistem discret liniar se aplic\ la ie[ire se ob]ine

[ ] [ ]nnx δ=

[ ] [ ] [ ] [ ]nhnhnny =∗δ= (2.52) 3. Dac\ la intrarea unui SDLIT se aplic\ , la ie[ire se ob]ine

, adic\

[ 0nn −δ ]]

][ 0nnh −

[ ] [ ] [ 00 nnhnhnn −=∗−δ (2.53) 4. Un sistem având r\spunsul la impuls nu modific\ semnalul de intrare

[ ] [ ]nnh δ=

[ ] [ ]nxny = (2.54) 56

Page 57: Prelucrarea digitala a semnalelor

~n contextul conect\rii `n cascad\ a sistemelor se introduce no]iunea de sistem invers, caracterizat prin r\spunsul la impuls care satisface rela]ia

[ ]nhi

[ ] [ ] [ ]nnhnh i δ=∗ (2.55)

5. Conectarea `n paralel a SDLIT. ~n figura 2.21 (a) este prezentat\ conectarea `n paralel a dou\ sisteme [i . Se poate ar\ta simplu c\ acesta este echivalent cu sistemul din figura 2.21 (b).

[ ]nh1 [ ]nh2

~ntr-adev\r, conform figurii 2.21.a, se poate scrie [ ] [ ] [ ] [ ] [ ] [ ] [ ])(][][][ 212121 nhnhnxnhnxnhnxnynyny +∗=∗+∗=+= (2.56)

Figura 2.21. Conectarea `n paralel a dou\ SDLIT

2.4.5. R\spunsul SDLIT la treapta unitate

De[i r\spunsul la impuls joac\ un rol esen]ial `n analiza [i sinteza sistemelor discrete, liniare, invariante `n timp, uneori prezint\ interes utilizarea r\spunsului la treapta unitate pentru a ob]ine r\spunsul sistemului la o intrare arbitrar\. R\spunsul la treapta unitate se ob]ine utilizând suma de convolu]ie

][][ nunx =

∑∞

−∞= −==−==

k

n

kkhknukhnsny ][][][][][ ∑

∞ (2.57)

Din aceast\ rela]ie se poate ob]ine explicit r\spunsul la impuls `n func]ie de r\spunsul la treapta unitate, dup\ cum urmeaz\:

∑−

−∞=−+=+=

1]1[][][][][

n

knsnhkhnhns (2.58)

de unde rezult\ ]1[][][ −−= nsnsnh (2.59)

57

Page 58: Prelucrarea digitala a semnalelor

Pentru a ob]ine r\spunsul al sistemului la semnalul de intrare , se `nlocuie[te h dat de (2.59) `n rela]ia (2.47).

][ny ][nx][n

[ ] ]1[][][][]1[][][][ −−−−=−−−−= ∑∑∑∞

−∞=

−∞=

−∞=knskxknskxknsknskxny

kkk

(2.60) Cunoscând r\spunsul al unui sistem la treapta unitate, se define[te convolu]ia dintre acesta [i un semnal oarecare de intrare ca fiind

][ns

∑∞

−∞=−=

ks knskxny ][][][ (2.61)

R\spunsul al sistemului la intrarea se poate exprima sub forma ][ny ][nx]1[][][ −−= nynyny ss (2.62)

2.4.6. Cauzalitatea sistemelor discrete, liniare, invariante `n timp exprimat\ `n func]ie de r\spunsul la impuls

~n cazul SDLIT cauzalitatea poate fi exprimat\ `n func]ie de r\spunsul la impuls al sistemului. Pentru a determina aceast\ rela]ie, fie un SDLIT a c\rui ie[ire la un moment n=n0 este dat\ de suma de convolu]ie

∑∞

−∞=−=

kknxkhny ][][][ 00 (2.63)

care se `mparte `n doi termeni, unul con]inând valoarea prezent\ [i cele trecute ale intr\rii ( pentru ) [i unul care con]ine valorile

viitoare ale intr\rii ( pentru ).

][nx][nx

0nn ≤

0n>n

[ ] [ ...]2[]2[]1[]1[...]1[]1[][]0[

][][][][][

0000

1

00

00

++−++−++−+

=−+−= ∑∑−

−∞=

=

nxhnxhnxhnxh

knxkhknxkhnykk

] (2.64)

Cum pentru un sistem cauzal ie[irea la momentul n0 depinde numai de valoarea prezent\ [i cele trecute ale intr\rii, rezult\ c\ r\spunsul la impuls al sistemului trebuie s\ satisfac\ condi]ia

00][ <= npentrunh (2.65) Deoarece este r\spunsul la impuls al SDLIT relaxat, rezult\ c\ rela]ia (2.65) este condi]ia necesar\ [i suficient\ pentru cauzalitate. Datorit\ acestei condi]ii limitele sumei pot fi modificate pentru a reflecta aceast\ restric]ie, ob]inându-se

][nh

58

Page 59: Prelucrarea digitala a semnalelor

∑∑−∞=

=−=−=

n

kkknhkxknxkhny ][][][][][

0 (2.66)

Anterior s-a intodus no]iunea de secven]\ cauzal\ pentru a denumi o secven]\ care este zero pentru n<0 [i de secven]\ necauzal\ pentru a denumi o secven]\ care este diferit\ de zero pentru n<0. Aceast\ terminologie semnific\ faptul c\ astfel de secven]e pot fi r\spunsurile la impuls ale unui sistem cauzal, respectiv, necauzal. Dac\ la intrarea unui SDLIT cauzal se aplic\ o secven]\ cauzal\, suma de convolu]ie devine

∑∑==

−=−=n

k

n

kknhkxknxkhny

00][][][][][ (2.67)

R\spunsul unui SDLIT cauzal la un semnal de intrare cauzal este, de asemenea, cauzal, deoarece . 0pentru,0][ <= nny

2.4.7. Stabilitatea sistemelor discrete, liniare, invariante `n timp exprimat\ `n func]ie de r\spunsul la impuls Condi]ia de stabilitate `n sens MIME din paragraful 2.4.2.5 poate

fi exprimat\ pentru SDLIT `n func]ie de caracteristicile sistemului. Fie un SDLIT caracterizat de r\spunsul la impuls c\ruia i se aplic\ un

semnal de intrare m\rginit

][nh∞<≤ xMnx ][ . Ie[irea sa este dat\ de suma

de convolu]ie (2.48').

∑∑∑∞

−∞=

−∞=

−∞=≤−≤−=

kkx

kkhMknxkkknxkhny ][][][][][][ (2.68)

Din rela]ia (2.68) se observ\ c\ semnalul de ie[ire va fi m\rginit dac\ r\spunsul la impuls al sistemului satisface condi]ia

∞≤∑∞

−∞=kkh ][ (2.69)

~n concluzie, un SDLIT este stabil `n sens MIME dac\ r\spunsul s\u la impuls este absolut sumabil.

2.4.8. Sisteme discrete cu r\spuns finit la impuls [i r\spuns infinit la impuls

59

A[a cum s-a ar\tat anterior, SDLIT pot fi caracterizate `n func]ie de durata r\spunsului lor la impuls. Aceste sisteme se `mpart `n dou\ clase, [i anume: cele al c\ror r\spuns la impuls are durat\ finit\, numite

Page 60: Prelucrarea digitala a semnalelor

sisteme FIR (acronimul provenind de la ini]ialele engleze[ti "finite impulse response") [i cele al c\ror r\spuns la impuls are durat\ infinit\, numite sisteme IIR ("infinite impulse response"). Un sistem FIR are un r\spuns la impuls egal cu zero `n afara unui interval finit. F\r\ a pierde din generalitate, pentru sistemele FIR cauzale se poate scrie

[ ] Mnnnh ≥<= şi0pentru,0 (2.70) caz `n care suma de convolu]ie devine

[ ] [ ] [ ]∑−

=−=

1

0

M

kknxkhny (2.71)

O interpretare util\ a acestei expresii se ob]ine observând c\ ie[irea la momentul n este o sum\ ponderat\ a e[antioanelor semnalului de intrare

. Cu alte cuvinte, sistemul pondereaz\ cu

valorile r\spunsului la impuls cele mai recente M valori ale e[antioanelor de semnal [i sumeaz\ cele M produse. ~n consecin]\, sistemul ac]ioneaz\ ca o fereastr\ care "vede" numai ultimele M e[antioane ale intr\rii pentru a ob]ine ie[irea. Cu alte cuvinte, un sistem FIR are o memorie finit\ de ordin M.

[ ] [ ] [ ]1...,1, +−− Mnxnxnx[ ]kh

Spre deosebire de sistemele FIR, un sistem IIR are r\spunsul la impuls de durat\ infinit\ [i, cu ajutorul sumei de convolu]ie, r\spunsul s\u este

[ ] [ ] [ ]∑∞

=−=

0kknxkhny (2.72)

unde s-a presupus sistemul cauzal (limita inferioar\ a sumei este k ), de[i aceast\ presupunere nu era absolut necesar\.

0=

Se observ\ c\ `n calculul r\spunsului sunt implicate valoarea prezent\ [i toate valorile precedente ale intr\rii, deci sistemul are memorie infinit\.

2.4.9. Sisteme discrete recursive [i nerecursive

Anterior s-a ar\tat cum se poate ob]ine ie[irea unui SDLIT cu ajutorul sumei de convolu]ie `n func]ie de e[antioanele semnalului de intrare. Exist\ multe sisteme pentru care este mai convenabil a se exprima ie[irea nu numai `n func]ie de valoarea prezent\ [i cele anterioare ale intr\rii, ci [i `n func]ie de valorile precedente ale ie[irii.

60

Page 61: Prelucrarea digitala a semnalelor

Exemplul 2.12. Fie sistemul definit de rela]ia

[ ] [ ]∑=+

=n

kkx

nny

011

(2.73)

care calculeaz\ media e[antioanelor acumulate (media cumulativ\). Din (2.73) se observ\ c\ pentru calculul ie[irii este necesar\

stocarea tuturor e[antioanelor , pentru . Aparent, este necesar\ o memorie care cre[te liniar cu num\rul e[antioanelor de la intrare. Pentru sistemul descris de rela]ia (2.73) este mai u[or s\ se calculeze `n func]ie de [i . ~ntr-adev\r, printr-o rearanjare simpl\ a rela]iei (2.73) se ob]ine

[ ]nyn[ ]kx

[ −ny

k ≤≤0

][n[ ]ny ]1 x

( ) [ ] [ ] [ ] [ ] [ ]nxnynnxkxnynn

k+−⋅=+=+ ∑

=11

1

0 (2.74)

[i atunci

[ ] [ ] [ ]nxn

nynnny

111

1 ++−

+= (2.75)

Acum media cumulativ\ se calculeaz\ mai u[or, multiplicând valoarea

precedent\ a ie[irii cu [ 1−ny ]1+nn

, valoarea e[antionului curent de

intrare cu 1

1+n

[i apoi adunând cele dou\ produse.

Conform rela]iei (2.75), calculul lui necesit\ dou\ multiplic\ri, o adunare [i o loca]ie de memorie (element de `ntârziere), dup\ cum este ar\tat `n figura 2.22.

[ ]ny

Acesta este un exemplu de sistem recursiv. ~n general, ie[irea unui sistem cauzal recursiv poate fi exprimat\ astfel [ ] [ ] [ ] [ ] [ ] [ ] [ ][ ]MnxnxnxNnynynyFny −−−−−= ...,,1,,...,,2,1 (2.76)

unde este o func]ie de argumentele sale. Ecua]ia (2.76) este o ecua]ie recursiv\, specificând un mod de calcul al ie[irii sistemului `n func]ie de valorile precedente ale ie[irii [i valoarea prezent\ [i precedente ale intr\rii.

[ ]⋅F

Spre deosebire de sistemul descris de (2.76), dac\ depinde numai de valoarea prezent\ [i cele trecute ale intr\rii, atunci

[ ]ny

[ ] [ ] [ ] [ ][ MnxnxnxFny −−= ,...,1, ] (2.77) [i sistemul se nume[te nerecursiv.

61

Page 62: Prelucrarea digitala a semnalelor

Figura 2.22. Implementarea sistemului descris de (2.75)

Un sistem FIR, cauzal, descris de suma de convolu]ie

[ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ] [ ]=−++−+=−= ∑=

MnxMhnxhnxhknxkhnyM

k...110

0

[ ] [ ] [ ][ ,,...,1, MnxnxnxF −−= ]

]

]

(2.78)

unde este o sum\ ponderat\ a valorilor prezent\ [i trecute ale intr\rii

cu valoarea r\spunsului la impuls , este nerecursiv.

[ ]⋅F[ ] Mnnh ≤≤0,

Diferen]a de baz\ `ntre sistemele nerecursive [i cele recursive const\ `n prezen]a la cele din urm\ a unei reac]ii ce con]ine cel putin un element de `ntârziere. Pentru calculul ie[irii a unui sistem recursiv care este excitat

cu un semnal aplicat la n , trebuie calculate toate valorile precedente ale ie[irii . Spre deosebire de aceast\ situa]ie,

realizarea nerecursiv\ permite calculul lui f\r\ cunoa[terea ie[irilor precedente. Din (2.76) se observ\ c\ ie[irea unui sistem recursiv se poate determina dac\ se cunosc condi]iile ini]iale [i intrarea. Din punct de vedere al contribu]iilor acestora `n r\spunsul sistemului se pot face urm\toarele observa]ii:

[ 0ny0

]1−=[, 0ny[ ] [ ] ...,1,0 yy

[ 0ny

1. Dac\ sistemul este ini]ial relaxat la momentul , memoria sa ar trebui s\ fie zero, deci . Deoarece memoria sistemului determin\ starea sa, r\spunsul acestuia `n condi]ii ini]iale nule se nume[te r\spuns de stare zero sau r\spuns for]at [i se noteaz\ cu

sau .

0=n[ ] 0][...1 =−==− Nyy

[ ]nyzs [ ]ny fr2. Dac\ sistemul este nerelaxat [i intrarea sa este nul\, r\spunsul

s\u se nume[te r\spuns de intrare zero, notat . Acesta se mai

nume[te r\spuns liber sau natural .

][nyzi][nynr

3. Un sistem recursiv cu condi]ii ini]iale nenule este nerelaxat [i poate produce un semnal de ie[ire f\r\ a avea excita]ie. 62

Page 63: Prelucrarea digitala a semnalelor

4. Pentru clasa sistemelor liniare r\spunsul acestora este suma celor dou\ r\spunsuri, de intrare zero [i de stare zero

. ][][][ nynyny zszi +=~ntotdeauna este posibil a implementa un sistem FIR `n manier\

nerecursiv\, dar prin rearanjarea adecvat\ a rela]iei (2.77) care descrie sistemul, acesta poate fi implementat [i recursiv.

Exemplul 2.13. Fie un sistem FIR descris de ecua]ia

[ ] [∑=

−+

=M

kknx

Mny

011 ] (2.79)

care calculeaz\ media mobil\ sau alunec\toare. Conform rela]iei (2.77), acest sistem este de tip FIR, cu r\spunsul la impuls

[ ]1

1+

=M

nh , 0 (2.80) Mn ≤≤

[i implementarea din figura 2.23.

Figura 2.23. Implementarea nerecursiv\ a unui sistem FIR

pentru calculul mediei mobile

Rela]ia (2.79) poate fi `ns\ rearanjat\ sub forma

[ ] [ ] [ ] [ ]( ) =−−−+

+−−+

= ∑=

MnxnxM

knxM

nyM

k1

111

11

0 (2.81)

[ ] [ ] [ ]( )MnxnxM

ny −−−+

+−= 11

11

Rela]ia (2.79), scris\ `n forma echivalent\ (2.81), poate fi implementat\ ca `n figura 2.24 `n form\ recursiv\. Un sistem IIR nu poate fi implementat decât recursiv, deoarece implementarea nerecursiv\ ar implica un num\r infinit de celule de `ntârziere. ~n concluzie, termenii de FIR [i IIR trebuie v\zu]i drept caracteristici generale ale sistemelor referitoare la durata r\spunsului la

63

Page 64: Prelucrarea digitala a semnalelor

impuls, `n timp ce termenii de recursiv [i nerecursiv se refer\ la posibilit\]ile de implementare ale acestora.

Figura 2.24. Implementarea recursiv\ a unui sistem FIR care calculeaz\ media mobil\

2.5. Corela]ia semnalelor discrete ~n sec]iunile precedente semnalele s-au presupus deterministe, adic\ fiecare valoare a secven]ei este unic determinat\ de o expresie matematic\, un tabel de atribuire sau o regul\ oarecare. ~n multe situa]ii `ns\, procesele care genereaz\ semnale sunt mult prea complexe, astfel `ncât descrierea lor este fie foarte dificil\, fie imposibil\. ~n aceste cazuri este util\ modelarea lor cu secven]e aleatoare, a c\ror caracterizare matematic\ se realizeaz\ pe baza mediilor de diferite ordine [15]. Semnalele aleatoare nu sunt absolut sumabile sau de p\trat sumabil [i, `n consecin]\, nu au transformat\ Fourier, dar multe din propriet\]ile acestor semnale pot fi descrise de func]iile lor de autocorela]ie sau de corela]ie, pentru care transformata Fourier exist\ adesea. Ca [i `n cazul convolu]iei, `n cazul opera]iei de corela]ie sunt implicate dou\ semnale. Spre deosebire de convolu]ie, scopul calcul\rii corela]iei a dou\ semnale este de a ob]ine o m\sur\ a gradului `n care cele dou\ semnale sunt dependente [i de a extrage informa]ii din aceast\ dependen]\. Opera]ia de corela]ie este folosit\ `n cazul radarului, sonarului, comunica]iilor digitale [i alte aplica]ii. Spre exemplu, se presupune c\ exist\ dou\ secven]e aleatoare [i care trebuie comparate. ~n cazul radarului sau sonarului poate reprezenta semnalul discret transmis, iar , semnalului recep]ionat. Dac\ ]inta este prezent\, semnalul recep]ionat const\ din suma dintre semnalul reflectat de ]int\ [i zgomot, adic\

][nx][nx

][ny

][ny][ny

64

Page 65: Prelucrarea digitala a semnalelor

[ ] [ ] [ ]nwDnxany +−= , (2.82) unde D – `ntârzierea introdus\ `n semnalul reflectat, a – un factor de atenuare [i – zgomot aditiv. Pe de alt\ parte, dac\ nu exist\ ]int\ `n spa]iul investigat, semnalul const\ numai din zgomot. Deoarece, `n general, semnalul reflectat de ]int\ este "`necat" `n zgomot, se pune problema detec]iei ]intei, adic\, de a decide, pe baza semnalului recep]ionat, dac\ ]inta este sau nu prezent\ [i, `n caz afirmativ, s\ se g\seasc\ `ntârzierea D din care se poate determina distan]a pân\ la ]int\. Inspec]ia vizual\ a semnalului nu relev\ prezen]a sau absen]a semnalului . Opera]ia de corela]ie `ntre semnalul transmis [i cel recep]ionat ofer\ un mijloc de a decide prezen]a sau lipsa ]intei.

[ ]nw

[nax

][ny

][ny]D−

O alt\ aplica]ie a corela]iei, `ntâlnit\ adesea `n comunica]iile digitale, const\ `n detec]ia `ntre dou\ alternative. ~n acest caz semnalul recep]ionat este de forma

[ ] [ ] [ ]nwnxny i += , i (2.83) 10;1,0 −≤≤= Lnunde [i reprezint\, de exemplu, "0" logic, respectiv, "1" logic.

Efectuând corela]iile semnalului recep]ionat cu cele dou\ semnale

[i generate local la recep]ie, se poate lua decizia care din cele dou\

semnale sau este prezent `n semnalul recep]ionat.

[ ]nx0

[ ]nx0

[ ]nx1

]

[ ]nx0

x1[n [ ]nx1

~n cele ce urmeaz\ se va defini opera]ia de corela]ie [i autocorela]ie pentru semnale de energie finit\ [i pentru semnale de putere finit\.

2.5.1. Corela]ia [i autocorela]ia secven]elor de energie finit\

Fie x [i dou\ semnale de energie finit\. Secven]a de

corela]ie dintre [i este o secven]\ r definit\ cu rela]ia

[ ]nx

[ ]ny[y][n ]n [ ]lxy

[ ] [ ] [ ]∑∞

−∞=

−=n

xy lnynxlr , l (2.84) ...;2;1;0 ±±=

sau, echivalent

[ ] [ ] [ ]∑∞

−∞=+=

nxy nylnxlr , l (2.85) ...;2;1;0 ±±=

Ordinea indicilor x [i y indic\ direc]ia `n care o secven]\ este deplasat\ fa]\ de cealalt\. ~n rela]ia (2.84) este nedeplasat\ [i este [ ]nx [ ]ny 65

Page 66: Prelucrarea digitala a semnalelor

deplasat\ fa]\ de cu l unit\]i, spre dreapta pentru l pozitiv [i spre

stânga pentru l negativ. ~n (2.85) este nedeplasat\ [i este deplasat\ cu l unit\]i spre stânga pentru l pozitiv [i spre dreapta, dac\ l este negativ.

[ ]nx

r

r

r

[ ]ny

[nx] [ −nx

]+ xl

[ ]l−

[y −∗

[ ]ny =

] [ −nx

]+ xl

[ lrxx −

[ ]nx

yb+

[ ]nx

Deplasarea lui x spre stânga cu l unit\]i fa]\ de

echivaleaz\ cu deplasarea lui spre dreapta fa]\ de cu l unit\]i,

astfel `ncât ob]inut din (2.84) [i (2.85) este acela[i.

[ ]n [ ]ny[ ]ny [ ]nx

[ ]lrxy

Dac\ se inverseaz\ rolurile lui [i se ob]ine ] [ ]ny[ ] [ ]∑

−∞==n

yx lnyl (2.86)

sau

[ ] [ [ ]∑∞

−∞==n

yx nnylr (2.87)

Comparând (2.84) cu (2.87) sau (2.85) cu (2.86), rezult\ [ ] rlr yxxy = (2.88)

Cu excep]ia opera]iei de reflectare, calculul corela]iei implic\ acelea[i opera]ii ca [i convolu]ia: deplasarea unei secven]e, multiplicarea [i sumarea produselor, adic\ se ob]ine din convolu]ia ][lrxy

[ ] [ ] ]llxlrxy = (2.89)

~n cazul particular `n care se ob]ine autocorela]ia, definit\ ca

[ ]nx

[ ] [ ]∑∞

−∞==n

xx lnxl (2.90)

sau, echivalent

[ ] [ [ ]∑∞

−∞==n

xx nnxl (2.91)

Din (2.90) [i (2.91) rezult\ , deci func]ia de autocorela]ie este par\. Când se lucreaz\ cu secven]e de durat\ finit\, evident, sumele sunt finite, limitele de sumare fiind determinate de lungimea secven]elor implicate `n corela]ie.

]][lrxx =

Pentru eviden]ierea unor propriet\]i ale func]iei de autocorela]ie [i corela]ie se presupun dou\ secven]e [i de energie finit\, [i se formeaz\ combina]ia liniar\

[ ]ny

[ ] [ ] [ ]lnnxanz −= (2.92)

66

Page 67: Prelucrarea digitala a semnalelor

Energia semnalului z este [ ]n[ ] [ ][ ] [ ] +−+=−+ ∑∑∑

−∞=

−∞=

−∞= nnnlnybnxalnybnxa 22222 [ ]

[ ] [ ] [ ] [ ] [ ]lrbarbralnynxba xyyyxxn

2002 22 ++=−+ ∑∞

−∞= (2.93)

Fie [ ] xxx Er =0 [i r (2.94) [ ] yyy E=0

energiile semnalelor [i . [ ]nx [ ]ny Evident,

[ ] [ ] [ ] 0200 22 ≥++ lrbarbra xyyyxx (2.95)

Presupunând 0≠b [i `mp\r]ind (2.93) prin , rezult\ 2b

[ ] [ ] [ ] 00202

≥+

+

yyxyxx rbalr

bar (2.96)

Aceast\ rela]ie este adev\rat\ dac\ discriminantul s\u este mai mic sau egal cu zero, adic\

[ ] [ ] [ ] 0002 ≤− yyxxxy rrlr (2.97)

Din (2.97) rezult\

[ ] [ ] [ ] yxyyxxxy EErrlr =≤ 00 (2.98)

~n cazul particular , rezult\ [ ] [ ]nxny =[ ] [ ] xxxxx Erlr =≤ 0 (2.99)

Aceasta `nseamn\ c\ func]ia de autocorela]ie `[i atinge valoarea maxim\ `n origine. ~n practic\ este uneori preferabil a se normaliza func]ia de corela]ie [i autocorela]ie la domeniul [ ]. 1,1− Func]ia de corela]ie normalizat\, numit\ uneori [i coeficient de corela]ie, se calculeaz\ cu rela]ia

[ ] [ ][ ] [ ]00 yyxx

xyxy rr

lrl =ρ (2.100)

Func]ia de autocorela]ie normalizat\, numit\ uneori [i coeficient de autocorela]ie, se calculeaz\ cu rela]ia

[ ] [ ][ ]0xx

xxxx r

lrl =ρ (2.101)

Evident, [ ] 1≤ρ lxy [i [ ] 1≤ρ lxx .

67

Page 68: Prelucrarea digitala a semnalelor

2.5.2. Corela]ia secven]elor de putere finit\ Fie x [i dou\ secven]e de putere finit\. Func]ia lor de corela]ie este definit\ prin rela]ia

[ ]n [ ]ny

[ ] [ ] [ ]∑−=∞→

−+

=M

MnMxy lnynxM

lr12

1lim (2.102)

Dac\ x , se ob]ine func]ia de autocorela]ie [ ] [ ]nyn =

[ ] [ ] [ ]∑−=∞→

−+

=M

MnMxx lnxnxM

lr12

1lim (2.103)

Dac\, `n particular, [i sunt periodice, de perioad\ N, mediile din (2.102) [i (2.103) sunt identice cu cele pe o perioad\ [i atunci se poate scrie

[ ]nx [ ]ny

[ ] [ ] [ ]∑−

=−=

1

0

1 N

nxy lnynx

Nlr (2.104)

[ ] [ ] [ ]∑−

=−=

1

0

1 N

nxx lnxnx

Nlr (2.105)

Secven]ele [i sunt periodice, de perioad\ N. Factorul [ ]lrxy [ ]lrxx N1

poate fi considerat factor de normalizare. Exemplul 2.14. Fie secven]a

[ ] [ ] [ ]nwnxny += (2.106)

unde este o secven]\ periodic\ de perioad\ necunoscut\ N, iar zgomotul aditiv, presupus alb.

[ ]nx [ ]nw

Considerând M e[antioane prelevate din , adic\

, cu [i presupunând pentru [i

, func]ia de autocorela]ie pentru este

][ny10 −≤≤ Mn

Mn >NM >> [ ] 0=ny 0<n

[ ]ny[ ] [ ] [ ]∑

=−=

1

0

1 M

nyy lnyny

Mlr (2.107)

~nlocuind (2.106) `n (2.107), rezult\

[ ] [ ] [ ]( ) [ ] [ ]( ) [ ] [ ] [ ] [ ]lrlrlrlrlnwlnxnwnxM

lr wwwxxwxx

M

nyy +++=−+−+= ∑

=

1

0

1

(2.108)

68

Page 69: Prelucrarea digitala a semnalelor

unde este func]ia de autocorela]ie a semnalului , [i

func]iile de corela]ie dintre semnal [i zgomotul aditiv, iar func]ia de autocorela]ie a zgomotului.

[ ]lrxx [ ]nx ][lrxw[rww][lrwx ]l

Deoarece s-a presupus periodic, de perioad\ N, [i func]ia sa de autocorela]ie va fi periodic\, având maxime locale pentru l = 0, N, 2N, ... . Func]iile de corela]ie [i dintre semnal [i zgomot sunt mici datorit\ independen]ei dintre cele dou\ semnale.

[ ]nx

[ ]lrxw [ ]lrwx

Func]ia de autocorela]ie a zgomotului va avea un maxim `n origine tinzând apoi asimptotic la valoarea sa medie [15]. ~n concluzie, `n (2.108) este de a[teptat ca numai r s\ aib\

maxime semnificative pentru l , ceea ce permite detec]ia semnalului periodic "`necat" `n zgomot [i determinarea perioadei sale.

[ ]lxx

0≥[ ]nx

2.5.3. Corela]ia dintre intrarea [i ie[irea unui sistem ~n paragraful de fa]\ se urm\re[te ob]inerea unor rela]ii intrare – ie[ire pentru sisteme discrete, liniare, invariante `n timp `n "domeniul corela]iei", deoarece semnalul ob]inut la ie[irea sistemului nu este arbitrar, necorelat [i independent de semnalul de intrare. Fie un semnal , a c\rui func]ie de autocorela]ie este cunoscut\, care se aplic\ la intrarea unui SDLIT caracterizat de r\spunsul la impuls

. Semnalul de ie[ire este

][nx [ ]lrxx

][nh

[ ] [ ] [ ]∑∞

−∞=−=∗=

kknhkxnxnhny ][][ (2.109)

Secven]a de intercorela]ie dintre intrare [i ie[ire este ][][]][][[][][][][ lrlhlxlxlhlxlylr xxyx ∗=−∗∗=−∗= (2.110)

adic\ convolu]ia dintre r\spunsul la impuls al sistemului [i func]ia de autocorela]ie a secven]ei de intrare.

Din (2.88) [i (2.110) rezult\ ][][][ lrlhlr xxxy ∗−= (2.111)

inând cont de (2.89), (2.109) [i propriet\]ile convolu]iei, func]ia de autocorela]ie a secven]ei de ie[ire este

][][]][][[]][][[

]][][[]][][[][][][

lrlrlxlxlhlh

lxlhlxlhlylylr

xxhh

yy

∗=−∗∗−∗

=−∗−∗∗=−∗= (2.112)

69

Page 70: Prelucrarea digitala a semnalelor

Func]ia de autocorela]ie r a r\spunsului la impuls exist\, dac\ sistemul este stabil. Evaluând (2.112) pentru l=0, se ob]ine energia semnalului de ie[ire cu ajutorul func]iilor de autocorela]ie.

][lhh ][nh

∑∞

−∞==k

xxhhyy krkrr ][][]0[ (2.113)

2.6. Sisteme discrete, liniare, invariante `n timp, caracterizate de ecua]ii cu diferen]e cu coeficien]i constan]i

2.6.1. Solu]ia ecua]iei liniare cu diferen]e cu coeficien]i constan]i

~n paragraful 2.4.3 au fost considerate SDLIT [i s-a ar\tat c\ acestea pot fi complet caracterizate de r\spunsul lor la impuls. De asemenea, a fost prezentat\ [i o alt\ manier\ de descriere a rela]iei intrare – ie[ire pentru aceast\ familie de sisteme discrete, [i anume, prin ecua]ia cu diferen]e exprimat\ de rela]ia

[ ] [ ] [∑∑==

−+−−=M

kk

N

kk knxbknyany

01] (2.114)

Dat\ fiind ecua]ia cu diferen]e cu coeficien]i constan]i care caracterizeaz\ un sistem discret, liniar, invariant `n timp, `n acest paragraf se urm\re[te a se ob]ine o expresie explicit\ pentru ie[irea , printr-o metod\ numit\ direct\. O metod\ alternativ\, numit\ indirect\, bazat\ pe folosirea transformatei Z va fi prezentat\ `n paragraful 3.6.2.

[ ]ny

Ca [i `n cazul ecua]iilor diferen]iale liniare cu coeficien]i constan]i, o ecua]ie liniar\ cu diferen]e are solu]ia [3]

][][][ nynyny hp += (2.115)

unde reprezint\ o solu]ie particular\ a ecua]iei complete, iar

solu]ia general\ a ecua]iei cu diferen]e omogene ( x

pentru

][ny p][nyh [ ] 0=− kn

Mk ,0= ).

Solu]ia general\ a ecua]iei cu diferen]e omogene Prin impunerea intr\rii egal\ cu zero se ob]ine ecua]ia cu diferen]e omogen\

70

Page 71: Prelucrarea digitala a semnalelor

[ ] 00

=−∑=

N

kk knya , a0=1 (2.116)

Se alege o solu]ie a ecua]iei omogene de forma

[ ] nny λ= (2.117) ~nlocuind (2.117) `n (2.116), se ob]ine

00

=λ∑=

−N

k

knka (2.118)

sau ( ) 0... 12

21

1 =+λ++λ+λ+λ −−−−

NNNNNNn aaaaλ (2.118')

Ecua]ia (2.118) sau (2.118’) se nume[te ecua]ie caracteristic\, iar polinomul din parantez\ se nume[te polinom caracteristic al sistemului, care are N r\d\cini notate , care pot fi reale [i/sau

complexe, distincte sau nu. Dac\ coeficien]ii sunt reali, cum se `ntâmpl\ de obicei `n practic\, r\d\cinile sunt fie reale, fie reale [i/sau perechi complex conjugate. Unele r\d\cini pot fi identice, caz `n care r\d\cinile se numesc multiple. Pentru `nceput `ns\, se presupune c\ acestea sunt distincte.

Nλλλ ,...,, 21

Naa ,...,1

Solu]ia general\ a ecua]iei cu diferen]e omogene este [3] [ ] n

NNnn

h cccny λ++λ+λ= ...2211 (2.119)

unde sunt coeficien]i de ponderare, care se determin\ din

condi]iile ini]iale specificate pentru sistem. Deoarece , rela]ia (2.119) poate fi folosit\ pentru determinarea r\spunsului de intrare zero

al sistemului, coeficien]ii de ponderare determinându-se din

condi]iile ini]iale .

Nccc ,...,, 21

]y

0][ =nx

[nyzi][],...,2[],1[ Nyy −−−

Exemplul 2.15.

S\ se determine r\spunsul sistemului cauzal descris de ecua]ia cu diferen]e omogen\

[ ] [ ] [ ] 02413 =−−−− nynyny (2.120)

cu condi]iile ini]iale [i . [ 1−y ] [ ]2−ySolu]ie. Ecua]ia caracteristic\ este , cu r\d\cinile

[i λ , astfel `ncât forma general\ a solu]iei ecua]iei cu diferen]e, omogene este

043 21 =λ−λ−λ −− nnn

11 −=λ 42 =

[ ] ( ) nnnnh ccccny 41 212211 +−=λ+λ= (2.121)

71

Page 72: Prelucrarea digitala a semnalelor

Constantele [i se ob]in din condi]iile ini]iale [i . Din ecua]ia (2.120) se ob]ine

1c 2c [ 1−y ] ]

]

[ 2−y

[ ] [ ] [ ][ ] [ ] [ ] [ ] [ 21211314031

24130−+−=−+=

−+−=yyyyy

yyy (2.122)

Pe de alt\ parte, din (2.121) se poate scrie [ ][ ] 21

21

410

ccyccy+−=+=

(2.123)

~nlocuind (2.123) `n (2.122), rezult\

[ ] [ ]

[ ] [ ]25

1615

16

2541

51

2

1

−+−=

−+−−=

yyc

yyc

[i r\spunsul de intrare zero al sistemului va fi

[ ] [ ] [ ] ( ) [ ] [ ] 0;425

1615

1612541

51

−+−+−

−+−−= nyyyyny nn

zi

(2.124) Dac\ ecua]ia caracteristic\ are r\d\cina λ multipl\ de ordin m,

iar celelalte r\d\cini, , simple, solu]ia general\ a ecua]iei cu

diferen]e omogene este [3]

1

Nm λλ + ,...,1

[ ] nNN

nmm

nmm

nnnh ccncncnccny λ++λ+λ++λ+λ+λ= ++

− ...... 1111

12

31211 . (2.125)

~n cazul r\d\cinilor complex conjugate [i coeficien]ii corespunz\tori sunt complex conjuga]i [i contribu]ia acestora se combin\ `ntr-o component\ real\. Astfel, dac\ λ [i λ sunt cele dou\ r\d\cini complex conjugate p q

)sin(cos

)sin(cos

α−α=λ

α+α=λ

jr

jr

q

p (2.126)

contribu]ia lor `n r\spuns este

)sin(cos

)sin(cos

α−α=λ

α+α=λ

njnrcc

njnrccn

qnqq

np

npp

(2.127)

unde coeficien]ii [i sunt complex conjuga]i pc qcθ−θ == j

qj

p ceccec ; (2.128)

cu qp cc ==c .

72

Page 73: Prelucrarea digitala a semnalelor

inând seama de (2.128), termenii din rela]ia (2.127) se combin\ `n componenta

)sincos(

)sin(cos)sin(cos

α+α=

=α−α+α+α

nBnAr

njnrcnjnrc

kkn

nq

np

(2.129)

unde

θ−=θ=

sin2cos2cBcA

k

k (2.130)

Dac\ ecua]ia caracteristic\ are N1 r\d\cini reale distincte [i (N-N1)/2 perechi de r\d\cini complex conjugate, solu]ia este de forma

∑∑−

==α+α+λ=

2

11

11

)sincos(][

NN

kkk

nN

k

nkkh nBnArcny (2.131)

Dac\ unele r\d\cini reale sau complex conjugate sunt multiple, `n r\spuns apar [i termeni de forma (2.125). Solu]ia particular\ a ecua]iei cu diferen]e

Solu]ia particular\ se ob]ine presupunând o anumit\ form\ pentru aceasta, `n func]ie de semnalul de intrare [23]. ~n tabelul 2.1 sunt prezentate solu]ii particulare pentru cele mai uzuale semnale folosite `n practica prelucr\rii numerice a semnalelor.

Tabelul 2.1

Semnal de intrare [ ]nx Solu]ie particular\ [ ]ny p

A (constant) K nMA nMk

MnA MMM knknk +++ − ...1

10

Mn nA ( )MMMn knknkA +++ − ...1

10

ωωnAnA

0

0

sincos

nknk 0201 sincos ω+ω

][nδ ∑−

=−δ

NM

ii ink

0][

Exemplul 2.16. S\ se determine solu]ia particular\ a ecua]iei cu diferen]e

73

Page 74: Prelucrarea digitala a semnalelor

[ ] [ ] [ ] [nxnynyny +−−−= 2611

65 ] (2.126)

la semnalul de intrare . [ ] 0,2 ≥= nnx n

Solu]ia particular\ este

[ ] ][2 nukny np ⋅= (2.127)

Substituind (2.127) `n (2.126), se ob]ine

[ ] [ ] [ ] [nunuknuknuk nnnn 2226112

652 21 +−−−= −− ]

Pentru a determina pe k, ecua]ia precedent\ se evalueaz\ pentru . 2≥n

( )584

612

654 =⇒+−= kkkk

Solu]ia particular\ este

[ ] 0,258

≥= nny np .

Solu]ia total\ a ecua]iei liniare cu diferen]e [i r\spunsul de stare zero al sistemului Solu]ia total\ se ob]ine prin sumarea solu]iei general\ a ecua]iei omogene cu o solu]ie particular\ a ecua]iei complete, adic\

[ ] [ ] [ ]nynyny ph += (2.128)

Suma rezultat\ y , pentru , con]ine constantele c[ ]n

].N

0≥n k ale solu]iei ecua]iei omogene, care se determin\ din primele e[antione ale ie[irii calculate iterativ astfel `ncât sistemul s\ satisfac\ condi]iile ini]iale date [],...,2[],1[ yyy −−−Datorit\ liniarit\]ii sistemului, r\spunsul poate fi determinat [i cu rela]ia

[ ]ny

[ ] [ ] [ ]nynyny zizs += (2.129)

unde este numit r\spuns de stare zero, iar , r\spuns de

intrare zero. R\spunsul de stare zero se determin\ rezolvând ecua]ia cu diferen]e `n condi]ii ini]iale nule. A[a cum s-a mai precizat, r\spunsului de intrare zero se deduce din ecua]ia omogen\, ]inând cont de condi]iile ini]iale .

[ ]nyzs

1[y −

[ ]nyzi

][],...,2[], Nyy −−

Exemplul 2.17. Se consider\ un sistem recursiv descris de ecua]ia

[ ] [ ] [ ]nxnyany +−= 1 (2.130) 74

Page 75: Prelucrarea digitala a semnalelor

unde a – constant\. Pentru acest sistem se dore[te aflarea semnalului de ie[ire `n condi]iile `n care se presupune c\ la intrarea sistemului se aplic\ semnalul, pentru , f\r\ a face vreo presupunere asupra intr\rii pentru , dar presupunând cunoscut\ valoarea , adic\

condi]ia ini]ial\. Caz particular .

0≥n][nx 0<n [ 1−y ]

][2][ nunx n=Semnalul de ie[ire poate fi ob]inut prin mai multe metode, [i

anume: folosind rela]ia (2.128), folosind rela]ia (2.129) [i, pentru acest caz simplu al unei ecua]ii cu diferen]e de ordinul `ntâi, prin recuren]\.

1. Ecua]ia cu diferen]e omogen\ este 0]1[][ =−− nayny (2.131)

Ecua]ia caracteristic\ este , cu r\d\cina λ . Solu]ia ecua]iei

omogene este (2.132)

0=−λ anac1

a=

h ny ][ =

Solu]ia particular\ a ecua]iei neomogene este de forma . ][2][ nukny np =

~nlocuind solu]ia particular\ `n ecua]ia cu diferen]e [i apoi evaluând-o pe

aceasta pentru n=1, rezult\ a

k−

=2

2.

0,22

2][ ≥−

= na

ny np (2.133)

Conform rela]iei (2.128), solu]ia general\ este

0,22

2][ 1 ≥−

+= na

acny nn (2.134)

Evaluând (2.130) [i (2.134) `n n=0 [i egalând cele dou\ rela]ii, se ob]ine

aaayc−

−−=2

]1[1 .

0),2(2

1]1[][ 111 ≥−−

+−= +++ naa

yany nnn (2.135)

2. R\spunsul de intrare zero este n

zi acny '1][ = (2.136)

Evaluând (2.131) [i (2.136) `n n=0, apoi egalând rela]iile, rezult\

. ]1['1 −= ayc

]1[][ 1 −= + yany nzi (2.137)

R\spunsului de stare zero este de forma

0,22

2][ "1 ≥

−+= n

aacny nn

zs (2.138)

75

Page 76: Prelucrarea digitala a semnalelor

Constanta se determin\ prin evaluarea rela]iilor (2.138) [i (2.130) `n

n=0 cu condi]ia ini]ial\ nul\. Rezult\ astfel

''1c

aa−

−=2

"1c .

0),2(2

1][ 11 ≥−−

= ++ naa

ny nnzs (2.139)

~nlocuind (2.137) [i (2.139) `n (2.129), se ob]ine solu]ia total\ de aceea[i forma cu cea dat\ de (2.135).

3. Plecând de la (2.130), se poate scrie [ ] [ ] [ ][ ] [ ] [ ] [ ] [ ] [ ][ ] [ ] [ ] [ ] [ ] [ ] [ ]

[ ] [ ] [ ] [ ] [ ] [ ][ ] [ ]nxnxa

xaxayanxnyany

xxaxayaxyay

xxayaxyay

xyay

nnn

+−++++−=+−=

+++−=+=

++−=+=

+−=

−+

1...1011

2101212

101101

010

11

23

2

M (2.141)

sau, mai compact

[ ] [ ] [ ] 0,10

1 ≥−+−= ∑=

+ nknxayanyn

k

kn 2.142)

Particularizând (2.142) pentru semnalul de intrare considerat, se ob]ine r\spunsul total al sistemului, evident, de aceea[i form\ cu (2.135). Se observ\ c\ r\spunsul dat de (2.142) con]ine doi termeni: primul,

care `l con]ine pe , este un rezultat al condi]iei ini]iale, iar al doilea

termen se datoreaz\ semnalului de intrare .

[ ]ny][ 1−y

[ ]nx Dac\ sistemul este ini]ial relaxat la momentul , memoria sa ar trebui s\ fie zero, deci . Pentru sistemul descris de (2.130) r\spunsul de stare zero sau r\spunsul for]at este

0=n[ ] 01 =−y

[ ] [ ] 0,0

≥−= ∑=

nknxanyn

k

kzs (2.143)

~n continuare, se presupune sistemul ini]ial nerelaxat ( )

[i intrarea pentru to]i n. R\spunsul de intrare zero sau r\spunsul natural al sistemului este

[ ] 01 ≠−y[ ] 0=nx

[ ] [ ] 0,11 ≥−= + nyany nzi (2.144)

adic\ un sistem recursiv cu condi]ii ini]iale nenule produce un semnal de ie[ire f\r\ a avea excita]ie, acesta datorându-se memoriei sistemului.

76

Page 77: Prelucrarea digitala a semnalelor

Sistemul descris de (2.130) este cel mai simplu sistem recursiv din clasa sistemelor recursive descrise de ecua]ii liniare cu diferen]e cu coeficien]i constan]i. Forma general\ pentru o astfel de ecua]ie este dat\ de rela]ia

[ ] [ ] 1, 000

≡−=− ∑∑==

aknxbknyaM

kk

N

kk (2.145)

Ordinul ecua]iei cu diferen]e sau ordinul sistemului este egal cu N. Ecua]ia (2.145) exprim\ ie[irea sistemului la momentul n direct ca o sum\ ponderat\ a intr\rii prezente [i a valorilor anterioare ale intr\rii [i ie[irii. Pentru a determina ie[irea pentru este necesar\ cunoa[terea intr\rii [i condi]iile ini]iale . Acestea sintetizeaz\ "istoria" sistemului necesar\ afl\rii ie[irii prezente [i viitoare.

][ny 0≥n],1[y −][nx ][],...,2[ Nyy −−

Exemplul 2.18. S\ se determine solu]ia total\ a ecua]iei cu diferen]e

[ ] [ ] [ ] [nxnynyny +−−−= 2611

65 ] (2.146)

când semnalul de intrare este , [i . [ ] nnx 2= 0≥n[ ][ ]

=−=−

2211

yy

Se determin\ `ntâi solu]ia ecua]iei omogene

061

65 21 =λ+λ−λ −− nnn

31;

210

61

65

2122 =λ=λ⇒=

+λ−λλ −n

Forma general\ a solu]iei ecua]iei omogene cu diferen]e este

[ ]nn

nnh ccccny

+

=λ+λ=

31

21

212211

Din exemplul 2.16, solu]ia particular\ a acestui sistem la intrarea

este dat\ de (2.127) cu

[ ]nx

58

=k .

Solu]ia total\ este

[ ] 0,258

31

21

21 ≥+

+

= nccny n

nn

(2.147)

77

Page 78: Prelucrarea digitala a semnalelor

unde constantele [i c se determin\ astfel `ncât s\ satisfac\ condi]iile ini]iale.

1c 2

Din (2.146) rezult\

[ ] [ ] [ ]

[ ] [ ] [ ] [ ] [ ]6

1723651

361921

610

651

12611

650

+−−−=+−−=

+−−−=

yyyyy

yyy (2.148)

Din (2.147) se ob]ine

[ ]

[ ]5

1631

211

580

21

21

+⋅+⋅=

++=

ccy

ccy (2.149)

Introducând (2.149) `n (2.148) rezult\ [i `n func]ie de condi]iile

ini]iale [i . 1c 2c

[ 1−y ] [ ]2−y

607

31

21

101

21

21

−=+

−=+

cc

cc

52;

21

21 =−=⇒ cc

[ ] 0,258

31

52

21

21

≥+

+

−= nny n

nn

(2.150)

Pe de alt\ parte, r\spunsul total al sistemului se mai poate ob]ine din sumarea r\spunsului de stare zero cu r\spunsul de intrare zero.

[ ] [ ] [ ]nynyny zizs += (2.151) R\spunsul de stare zero se ob]ine din (2.147), prin evaluarea coeficien]ilor `n condi]ii ini]iale nule.

52';1'

617

516'

31'

21

158''

21

21

21=−=⇒

=++

=++cc

cc

cc

[ ] nnn

zs ny 258

31

52

21

+

+

−= (2.152)

R\spunsul de intrare zero se ob]ine din rezolvarea ecua]iei omogene [i folosirea condi]iilor ini]iale

78

Page 79: Prelucrarea digitala a semnalelor

[ ]

[ ] [ ] [ ] 02611

65

31"

21" 21

=−+−−

+

=

nynyny

ccnynn

h (2.153)

[ ]

[ ]

+=

+=

21

21

"31"

211

""0

ccy

ccy (2.154)

[ ] [ ] [ ]

[ ] [ ] [ ]

−−−=

−−−=

23651

36191

2611

650

yyy

yyy (2.155)

Din egalarea ecua]iei (2.155) cu (2.154) rezult\ 21"1 =c , c [i 0"2 =

[ ]n

zi ny

=

21

21

(2.156)

R\spunsul total al sistemului se ob]ine din sumarea r\spunsurilor de stare zero [i de intrare zero, adic\, `n cazul exemplului considerat

[ ] nnnn

nnn

ny 258

31

52

21

21

21

212

58

31

52

21

+

+

−=

++

+

−=

(2.157) Evident, y ob]inut cu rela]ia (2.157) este identic cu cel dat de (2.150) ob]inut pe baza solu]iei ecua]iei omogene [i a solu]iei particulare, cu constantele [i c determinate corespunz\tor.

[ ]n

1c 2

2.6.2. R\spunsul la impuls al sistemelor discrete, liniare, invariante `n timp R\spunsul la impuls al unui sistem discret, liniar, invariant `n

timp, relaxat a fost definit ca r\spunsul sistemului la excita]ia impuls unitate. ~n cazul sistemelor recursive, r\spunsul la impuls este egal cu r\spunsul de stare zero, când intrarea este . ][][ nnx δ=De exemplu, `n cazul sistemului recursiv de ordinul `ntâi din exemplul 2.17, r\spunsul de stare zero este

79

Page 80: Prelucrarea digitala a semnalelor

[ ] [ ] 0,0

≥−= ∑=

nknxanyn

k

kzs . (2.158)

care, particularizat pentru , este ][][ nnx δ=

[ ] [ ] 0,0

≥=−δ= ∑=

naknanyn

k

nkzs . (2.159)

~n concluzie, r\spunsul la impuls al sistemului recursiv, de ordinul `ntâi, descris de (2.130), este

][][ nuanh n= (2.160) inând cont de (2.160) [i (2.158), se observ\ c\ r\spunsul de stare zero este convolu]ia dintre r\spunsul la impuls al sistemului [i semnalul cauzal de intrare. ~n cazul general al unui sistem arbitrar, liniar, invariant `n timp, recursiv, cauzal, r\spunsul de stare zero la un semnal de intrare cauzal este

[ ] [ ] 0,][0

≥−= ∑=

nknxkhnyn

kzs . (2.161)

Când semnalul de intrare este impulsul unitate, ( ), (2.161) devine

][][ nnx δ=

[ ] ][nhnyzs = . (2.162) Pentru a determina r\spunsul la impuls al unui sistem discret

descris de o ecua]ie liniar\ cu diferen]e, cu coeficien]i constan]i se face apel la paragraful 2.6.1, conform c\ruia, r\spunsul total al unui astfel de sistem este suma dintre solu]ia ecua]iei omogene [i o solu]ie particular\ a ecua]iei generale. Solu]ia particular\ este dat\ `n tabelul 2.1. Pentru cazul `n care N>M, solu]ia particular\ este egal\ cu zero [i r\spunsul la impuls const\ numai din solu]ia ecua]iei omogene, cu coeficien]ii ck din (2.119) evalua]i astfel `ncât s\ satisfac\ condi]iile ini]iale dictate de impuls.

Exemplul 2.19. S\ se determine r\spunsul la impuls al sistemului descris de ecua]ia cu diferen]e de ordinul doi

][nh

]1[2][]2[4]1[3][ −+=−−−− nxnxnynyny (2.163) ~n exemplul 2.15 s-a determinat solu]ia ecua]iei cu diferen]e omogene pentru acest sistem de forma

[ ] ( ) ][)41( 21 nuccny nnh +−= (2.164)

80

Page 81: Prelucrarea digitala a semnalelor

Cum pentru intrarea , solu]ia particular\ este zero, r\spunsul la impuls al sistemului este dat de (2.164), unde c

][][ nnx δ=1 [i c2 sunt evaluate s\

satisfac\ rela]ia (2.163). Pentru n=0 [i n=1, (2.163) devine

5]1[1]0[

==

yy

(2.165)

`n condi]iile , deoarece sistemul trebuie s\ fie relaxat. 0]2[]1[ =−=− yyEvaluând (2.164) `n n=0 [i n=1, se ob]ine

21

21

4]1[]0[

ccyccy+−=+=

(2.166)

Din (2.165) [i (2.166) rezult\ c1=-1/5, c2=6/5. R\spunsul la impuls al sistemului este

[ ] ( ) ][4651

51 nunh nn

+−−= (2.167)

Pentru o ecua]ie liniar\ cu diferen]e, cu coeficien]i constan]i r\spunsul la impuls este de forma solu]iei ecua]iei omogene

nk

N

kkcnh λ= ∑

=1][ (2.168)

unde r\d\cinile polinomului caracteristic s-au presupus distincte. Coeficien]ii ck se determin\ din condi]ii ini]iale nule

. 0][...]2[]1[ =−==−=− NyyyExprimarea r\spunsului la impuls `n forma (2.168) permite stabilirea unei leg\turi `ntre r\d\cinile polinomului caracteristic [i stabilitatea `n sens MIME a sistemului, dup\ cum urmeaz\

∑∑∑∑∑∞

==

= =

=λ≤λ=

010 10][

n

nk

N

kk

n

nk

N

kk

nccnh (2.169)

Dac\ ∞<λ→=∀<λ ∑∞

=0,1,1

n

nkk Nk , [i, deci, ∑

=∞<

0][

nnh .

Pe de alt\ parte, dac\ una sau mai multe din m\rimile ][,1 nhk ≥λ nu

mai este absolut sumabil [i, `n consecin]\, sistemul este instabil. Prin urmare, o condi]ie necesar\ [i suficient\ pentru stabilitatea sistemelor cauzale recursive descrise prin ecua]ii liniare cu diferen]e cu coeficien]i constan]i este ca r\d\cinile polinomului caracteristic s\ fie subunitare `n modul. 81

Page 82: Prelucrarea digitala a semnalelor

2.7. Probleme propuse

2.1. Un semnal discret este definit sub forma [ ]nx

[ ]

≤≤

−≤≤−+

=.rest în , 030 , 1

13 , 3

1

n

nn

nx

a) S\ se determine valorile semnalului [i s\ se reprezinte ; [ ]nxb) S\ se reprezinte semnalul care rezult\ dac\

1) `ntâi se reflect\ , apoi se `ntârzie semnalul rezultat cu 4 e[antioane;

[ ]nx

2) `ntâi se `ntârzie cu 4 e[antioane, apoi se reflect\ semnalul rezultat.

[ ]nx

c) S\ se reprezinte semnalul ; [ ]4+− nxd) S\ se compare rezultatele de la pct. b) [i c). Cum se poate ob]ine

din ? [ ]4+− nx [ ]nxe) S\ se exprime `n func]ie de semnalele δ [i u . [ ]nx [ ]n [ ]n

2.2. Un semnal discret este reprezentat `n figura p2.2. S\ se

calculeze [i s\ se reprezinte fiecare din urm\toarele semnale [ ]nx

Figura p2.2.

a) ; [ ]2−nxb) ; [ ]nx −4c) ; [ ]2+nxd) ; [ ] [ ]nunx −2e) ; [ ] [ 31 −δ− nnx ] 82

Page 83: Prelucrarea digitala a semnalelor

f) [ ]2nx ;

g) partea par\ a lui ; [ ]nxh) partea impar\ a lui . [ ]nx

[ ] 6 5, 4, 3, ,2=nx

2.3. S\ se arate c\ orice semnal poate fi descompus `ntr-o parte par\ [i una impar\. Este aceast\ descompunere unic\ ? Ilustra]i argumenta]ia utilizând semnalul

2.4. Fie sistemul [ ] [ ][ ] [ ]2nxnxHny == . a) S\ se determine dac\ este invariant `n timp; b) Se aplic\ sistemului semnalul

[ ] ≤≤

=.rest în , 030 , 1 n

nx

1) s\ se reprezinte ; [ ]nx2) s\ se determine [i s\ se reprezinte ; [ ] [ ][ ]nxHny =3) s\ se reprezinte ; [ ] [ ]22 −=′ nyny4) s\ se determine [i s\ se reprezinte ; [ ] [ ]22 −= nxnx5) s\ se determine [i s\ se reprezinte semnalul ; [ ] [ ][ ]nxHny 22 =6) s\ se compare semnalele cu . Ce concluzie

rezult\ ? [ ]ny2 [ 2−ny ]

] . c) S\ se repete pct. b) pentru sistemul ; [ ] [ ] [ ]1−−= nxnxnyd) S\ se repete pct. b) pentru sistemul [ ] [ ][ ] [nxnnxHny ==

2.5. Un sistem discret poate fi 1) static sau dinamic; 2) liniar sau neliniar; 3) invariant sau variant `n timp; 4) cauzal sau necauzal; 5) stabil sau instabil.

S\ se examineze urm\toarele sisteme din punctul de vedere al propriet\]ilor de mai sus. a) ; [ ] [ ][ ]nxny cos=

83

Page 84: Prelucrarea digitala a semnalelor

b) ; [ ] [ ]∑+

−∞==

1n

kkxny

c) ; [ ] [ ] nnxny 0cosω=d) ; [ ] [ ]2+−= nxnye) , unde reprezint\ partea `ntreag\ a lui

ob]inut\ prin trunchiere;

[ ] [ ][ nxny Trun=[ ]nx

] ]

] ]

[ ][ nxTrun

f) , unde reprezint\ partea `ntreag\ a lui

ob]inut\ prin rotunjire;

[ ][ nxRoundy[n] =[ ]nx

[ ][ nxRound

g) [ ] [ ]nxny = ;

h) ; [ ] [ ] [ ]nunxny =i) ; [ ] [ ] [ ]1++= nxnnxnyj) ; [ ] [ ]nxny 2=

[ ] [k) . ]nxny −=

2.6. S\ se determine [i s\ se reprezinte convolu]ia a semnalelor [ ]ny

[ ]

≤≤

=.rest în , 0

60 , 31 nn

nx

h [ ] ≤≤−

=rest.în , 0

22 , 1 nn

a) numeric; b) grafic.

2.7. S\ se calculeze convolu]ia a urm\toarelor perechi de semnale:

[ ]ny

a) , h [ ] ≤≤−α

=.rest în , 0

53 , nnxn

[ ] ≤≤

=.rest în ,040 , 1 n

n

b) [ ] [ ]nuanx n=

[ ] [ ]nubnh n= , pentru a≠ b [i a b. =

84

Page 85: Prelucrarea digitala a semnalelor

c) , h . [ ]

−=−=

=rest.în ,0

1 , 21,0,2 , 1

nn

nx [ ] [ ] [ ] [ ] [ ]541 −δ+−δ+−δ−δ= nnnnn

d) , [ ] [ ] [ ] [ ]541 −δ−−−+= nnununx [ ] [ ] [ ][ ] ( )nnunun −−−+= 332h .

2.8. Fie , cu [i , , dou\ semnale de

durat\ finit\. [ ]nx 21 NnN ≤≤ [ ]nh 21 MnM ≤≤

a) S\ se determine domeniul al convolu]iei lor `n func]ie de

; 21 LnL ≤≤

2121 şi,, MMNNb) S\ se determine limitele domeniului convolu]iei `n cazul `n care cele

dou\ semnale se suprapun par]ial `n stânga, se suprapun complet [i se suprapun par]ial `n dreapta. Se presupune h de durat\ mai mic\

decât .

[ ]n[ ]nx

c) S\ se ilustreze rezultatele ob]inute calculând convolu]ia urm\toarelor semnale

[ ] ≤≤−

=. restîn ,0

42,1 nnx , h [ ]

≤≤−

=.rest în ,0

21,2 nn

2.9. S\ se determine r\spunsul la impuls [i la treapta unitate al

sistemelor descrise de urm\toarele ecua]ii cu diferen]e: a) ; [ ] [ ] [ ] [ ]nxnynyny +−−−= 208.016.0b) . [ ] [ ] [ ] [ ] [ ]2221.017.0 −−+−−−= nxnxnynyny

2.10. Se consider\ SDLIT interconectate ca `n figura p2.10.

[ ]nx

[ ]nh2

[ ]nh3 [ ]nh4

+[ ]ny

[ ]nh1

Figura p2.10. a) S\ se exprime r\spunsul la impuls al `ntregului sistem `n func]ie de

, h , h [i ; [ ]nh1 [ ]n2 [ ]n3 [ ]nh4

85

Page 86: Prelucrarea digitala a semnalelor

b) S\ se determine , dac\ [ ]nh [ ]

=

21,

41,

21

1 nh ,

, ; [ ] [ ] ( ) [ ]nunnhnh 132 +== [ ] δ=n [ ]24 −nhc) S\ se determine r\spunsul sistemului de la pct. b) dac\

[ ] [ ] [ ] [ ]34132 −δ−−δ++δ= nnnnx .

2.11. S\ se determine r\spunsul sistemului al c\rui r\spuns la impuls

este la semnalul de intrare . [ ] [ ]nuanh n= [ ] [ ] [ ]10−−= nununx

2.12. Dou\ semnale [i sunt legate prin urm\toarea ecua]ie cu diferen]e

[ ]ns [ ]nv

[ ] [ ] [ ] [ ]nvbNnsansans N 01 1 =−++−+ K . a) S\ se deseneze implementarea

1) sistemului care genereaz\ când este excitat cu ; [ ]ns [ ]nv2) sistemului care genereaz\ când este excitat cu ; [ ]nv [ ]ns

b) care este r\spunsul la impuls al cascadei formate prin interconectarea sistemelor 1 [i 2?

2.13. Un sistem discret are realizarea din figura p2.13.

1−z

++2

3 0.8

[ ]nx [ ]ny

Figura p2.13. a) S\ se calculeze r\spunsul la impuls al sistemului; b) S\ se implementeze sistemul invers, adic\ cel care produce ie[irea

pentru intrarea . [ ]nx [ ]ny

2.14. S\ se determine r\spunsul , al sistemului descris de ecua]ia cu diferen]e de ordinul doi

[ ]ny 0≥n

86

Page 87: Prelucrarea digitala a semnalelor

[ ] [ ] [ ] [ ] [ ]12414 −−=−+−− nxnxnynyny

la intrarea [i condi]iile: [ ] ( ) [ ]nunx n1−=a) [ ] [ ] 021 =−=− yyb) ; . [ ] 11 =−y [ ] 12 −=−y

2.15. S\ se determine r\spunsul la impuls al sistemului descris de ecua]ia cu diferen]e de ordin doi

[ ]nh

. [ ] [ ] [ ] [ ] [ ]12414 −−=−+−− nxnxnynyny

2.16. S\ se calculeze secven]ele de corela]ie , [i ale

urm\toarelor secven]e:

[ ]lrxx [ ]lrxy [ ]lryx

, [ ] +≤≤−

=.rest în , 0

, 1 00 NnnNnnx [ ]

≤≤−

=.rest în , 0

, 1 NnNny

2.17. S\ se determine secven]a de autocorela]ie a urm\toarelor

secven]e: a) ; [ ] 1,1,2,1=nxb) . [ ] 1,2,1,1=nyCe concluzie se desprinde ?

87

Page 88: Prelucrarea digitala a semnalelor

CAPITOLUL 3

TRANSFORMATA Z I APLICAIILE EI LA ANALIZA SISTEMELOR DISCRETE, LINIARE,

INVARIANTE ~N TIMP 3.1. Transformata Z ~n analiza semnalelor [i a sistemelor discrete, liniare, invariante `n timp, transformata Z joac\ acela[i rol ca transformata Laplace `n analiza semnalelor [i a sistemelor analogice, liniare, invariante `n timp.

3.1.1. Transformata Z direct\

Transformata Z a unui semnal discret este definit\ ca o serie de puteri

][nx

∑∞

−∞=

−≡n

nznxzX ][)( (3.1)

unde z este o variabil\ complex\. ~n planul complex z, `n abscis\ se trece partea real\ a variabilei complexe z, iar `n ordonat\, partea sa imaginar\. Rela]ia (3.1) se nume[te transformat\ Z direct\, pentru c\ transform\ semnalul definit `n domeniul timp `n reprezentarea sa `n planul complex, X(z). Transformata Z a unui semnal va fi notat\ cu ][nx

][)( nxZzX = , (3.2) `n timp ce rela]ia dintre [i va fi indicat\ de reprezentarea ][nx )(zX

)(][ zXnx Z→← (3.3) Deoarece transformata Z este o serie infinit\ de puteri, ea exist\ numai pentru acele valori ale lui z pentru care seria converge. Regiunea de convergen]\ (RC) a transformatei X(z) este dat\ de mul]imea valorilor lui z pentru care X(z) are valori finite. Ori de câte ori este dat\ o transformat\ Z, trebuie precizat\ [i RC corespunz\toare.

88

Page 89: Prelucrarea digitala a semnalelor

Exemplul 3.1. S\ se determine transformata Z pentru urm\toarele semnale de

durat\ finit\: a) 1,0,7,5,2,1][1 =nxb) 1,0,7,5,2,1][2 =nx

c) 1,0,7,5,2,1,0,0][3 =nx

d) ][][4 nnx δ=e) 0],[][5 >−δ= kknnxf) 0],[][5 >+δ= kknnx

Solu]ie. Din defini]ia (3.1) se ob]ine: a) X1(z) = 1+2z-1+5z-2+7z-3+z-5, RC: planul z – z = 0. b) X2(z) = z2+2z+5+7z-1+z-3, RC: planul z – z = 0; z = ∞. c) X3(z) = z-2+2z-3+5z-4+7z-5+z-7, RC: planul z – z = 0. d) X4(z) = 1, RC: planul z. e) X5(z) = z-k, RC: planul z – z = 0. f) X6(z) = zk, RC: planul z – z = ∞. Din exemplul precedent se observ\ c\ RC a semnalelor de durat\ finit\ este `ntreg planul z, exceptând eventual punctele z = 0 şi/sau z = ∞, unde unii termeni ai seriei devin nemărginiţi. Din definiţia transformatei Z, se observă că transformata Z a părţii cauzale a unei secvenţe conţine numai puteri negative ale variabilei z, iar partea pur necauzală, numai puteri pozitive. Pentru secvenţe de durată finită , cu N,...,,][

211 1 NNN xxxnx +=

0 ],[ 21 NNx∉1,

N2 numere întregi, se consideră că , pentru . ][ =nx În unele cazuri, sumele finite sau infinite ale unei serii de puteri pot fi exprimate mai compact, dacă seria converge într-o regiune.

Exemplul 3.2.

Transformata Z a semnalului ][21][ nunx

n

= este

∑∑∞

=

−∞

=

−−−−

=

=+

++

++=

0

1

0

22

1

21

21...

21...

21

211)(

n

n

n

nn

nn

zzzzzzX

care este o serie geometrică infinită, convergentă pentru 121 1 <−z , şi,

89

Page 90: Prelucrarea digitala a semnalelor

deci,1

211

1)(−−

=z

zX pentru 21

>z , adică RC: 21

>z .

Dacă în relaţia (3.1) variabila complexă se exprimă sub formă polară , unde r = |z| şi θ , atunci X(z) poate fi scris sub forma θ= jerz z∠=

∑∞

−∞=

θ−−=

n

njnrez ernxzX j ][|)( (3.4)

În regiunea de convergenţă a lui X(z), | . ∞< |X(z)

∑ ∑∑∞

−∞=

−∞=

−θ−−∞

−∞=

θ−− =≤=n n

nnjn

n

njn rnxernxernxzX ][][][)( (3.5)

Prin urmare, |X(z)| este finit dacă este absolut sumabil. Problema găsirii RC pentru X(z) este echivalentă cu determinarea domeniului de valori pentru r, pentru care este absolut sumabil. Pentru aceasta, se exprimă (3.5) sub forma

nrnx −][

n−rnx ][

∑∑ ∑∑∞

=

=

=

−∞=

− +−=+≤00 1

1 ][][][][)(n

nn n

nn

n

n

rnxrnx

rnxrnxzX (3.6)

Dac\ |X(z)| converge `ntr-o regiune a planului complex, ambele sume din (3.6) trebuie s\ fie finite `n acea regiune. Dacă prima sumă, care corespunde părţii necauzale a lui x[n], converge, trebuie să existe valori suficient de mici pentru r, astfel încât produsele să fie absolut sumabile pentru . Aşadar, pentru prima sumă, RC este formată din punctele dintr-un cerc de rază r

nrnx ][−1≥n

1 < ∞ ca în figura 3.1a. Dacă a doua sumă, care corespunde părţii cauzale a lui x[n], converge, trebuie să existe

valori pentru r suficient de mari, astfel încât ∞<nx≤

rnn 0,][ , să fie

absolut sumabil. Regiunea de convergenţă pentru a doua sumă constă din punctele din afara unui cerc de rază r > r2, ca în figura 3.1b. Deoarece convergenţa lui X(z) implică ambele sume din (3.6) finite, RC pentru X(z) este regiunea inclusă din planul z, r2<r<r1, figurată în figura 1c. Dacă r2>r1, nu există regiune de convergenţă comună pentru cele două sume şi, deci, X(z) nu există. Conceptul de regiune de convergenţă în legătură cu transformata Z este ilustrat pe următoarele două exemple.

90

Page 91: Prelucrarea digitala a semnalelor

Figura 3.1. Regiunea de convergenţă pentru a) partea pur necauzală a lui X(z), b) partea

cauzală a lui X(z) şi c) X(z)

Exemplul 3.3. Să se determine transformata Z a semnalului

<≥α

=α=000][][

nnnunx

nn (3.7)

Soluţie. Aplicând definiţia (3.1), se obţine

( )n

nn

nn zzzX ∑∑∞

=

−∞

=

− α=α=0

1

0)(

Dacă 11 <α −z sau, echivalent, α>z , seria X(z) converge la 111

−α− z.

α>α−

=→←α= − zRCz

zXnunx Zn11

1)(][][ (3.8)

Dacă în (3.8) se impune α = 1, se obţine transformata Z a treptei unitate

1:1

1)(][][ 1 >−

=→←= − zRCz

zXnunx Z (3.9)

Exemplul 3.4. Să se determine transformata Z a semnalului

−≤α−

≥=−−α−=

1

00]1[][

n

nnunx n

n (3.10)

Soluţie. Aplicând definiţia (3.1), se obţine

(∑ ∑−

−∞=

=

−− ⋅α−=α−=1

1

1)(n m

mnn zzzX ) , unde m = −n.

Dacă 11 <α− z , sau, echivalent, α<z , 11

1

11

1)( −−

α−=

α−α

−=zz

zzX

91

Page 92: Prelucrarea digitala a semnalelor

α<α−

=→←−−α−= − zRCz

zXuunx Zn :1

1)(]1[][ 1 (3.11)

Figura 3.2. Regiuni de convergen]\ pentru diverse tipuri de semnale

92

Page 93: Prelucrarea digitala a semnalelor

Din comparaţia exemplelor 3 şi 4 se desprind următoarele observaţii: 1. Două semnale diferite, unul cauzal, dat de (3.7), şi celălalt necauzal, dat de (3.10), au aceeaşi transformată Z, adică

111]1[][ −α−

=−−α−=αz

nuZnuZ nn

şi, prin urmare, cunoaşterea transformatei Z a unui semnal fără precizarea RC nu determină în mod unic semnalul respectiv. Această ambiguitate se elimină prin specificarea regiunii de convergenţă. În continuare, termenul de transformată Z va fi folosit pentru a face referire atât la expresia analitică a transformatei Z cât şi la regiunea ei de convergenţă; 2. Exemplul 3 ilustrează faptul că RC a unui semnal cauzal este exteriorul unui cerc de rază r2=α, iar exemplul 4 ilustrează că RC a unui semnal necauzal este interiorul unui cerc de rază r1=α. Pentru un semnal bilateral (care are o parte cauzală şi una pur necauzală) RC, dacă există, va fi un inel circular, ca în fig.1c.

Din cele prezentate până acum s-a observat că RC a unui semnal depinde atât de durata sa (finită sau infinită) cât şi de faptul dacă este sau nu cauzal, dependenţă arătată în figura 3.2. Transformata Z dată de relaţia (3.1) mai este cunoscută ca transformata Z bilaterală, pentru a o deosebi de transformata Z unilaterală definită de relaţia

∑∞

=

−+ =0

][)(n

nznxZX (3.12)

În continuare se va folosi expresia de transformată Z în desemnarea transformatei bilaterale date de (3.1). Evident, dacă semnalul x[n] este cauzal, transformata Z bilaterală şi cea unilaterală sunt identice.

3.1.2. Transformata Z inversă În multe cazuri se dispune de transformata Z a unui semnal şi

trebuie determinat semnalul x[n], lucru care se realizează cu ajutorul transformatei Z inverse. O formulă de obţinere a lui din se bazează pe teorema integrală a lui Cauchy [23].

][nx )(zX

Se presupune transformata Z de forma

∑∞

−∞=

−=k

kzkxzX ][)( (3.13)

93

Page 94: Prelucrarea digitala a semnalelor

Multiplicând ambii membri ai relaţiei (3.13) cu zn-1 şi apoi integrând pe un contur închis din RC a lui X(z) care conţine originea, se obţine

∫ ∫ ∑∞

−∞=

−−− =c c

k

knn dzzkxdzzzX 11 ][)( (3.14)

unde c – reprezintă un contur închis din RC ce conţine originea, parcurs în sens antiorar. Deoarece seria converge pe acest contur, se poate schimba ordinea integrării şi sumării din membrul drept al relaţiei (3.14), obţinându-se

∫ ∑ ∫∞

−∞=

−−− =c

kc

knn dzzkxdzzzX 11 ][)( (3.15)

Conform teoremei integrale a lui Cauchy, se poate scrie

≠=

=π ∫ −−

nknk

dzzj c

kn

,0,1

21 1 (3.16)

Aplicând (3.16) în (3.15), membrul drept se reduce la 2 şi formula de inversiune este

jx[n]π

dzzzXj

nxc

n∫ −

π= 1)(

21][ (3.17)

Deşi relaţia de inversiune (3.17) permite obţinerea originalului din transformata Z, ea nu se foloseşte direct în evaluarea transformatei Z inverse atunci când se operează cu semnale care au transformate Z raţionale (raport de polinoame), deoarece pentru acestea s-au dezvoltat metode mai simple de inversiune. Transformata Z inversă a lui X(z) se notează cu Z-1, adică

. )(][ 1 zXZnx −=

3.2. Proprietăţile transformatei Z Transformata Z reprezintă un instrument foarte puternic în studiul semnalelor şi sistemelor discrete, însuşire ce este o consecinţă a proprietăţilor pe care le posedă. Când într-o expresie intervin mai multe transformate Z, va rezulta o transformată a cărei regiune de convergenţă este cel puţin intersecţia regiunilor de convergenţă a transformatelor individuale. 1. Liniaritatea

Dacă 2)(][

1)(][

22

11

RCzzXnx

RCzzXnxZ

Z

∈→←

∈→←

94

Page 95: Prelucrarea digitala a semnalelor

atunci )()()(][][][ 22112211 zXazXazXnxanxanx Z +=→←+= (3.18)

cu RC - cel puţin intersecţia dintre RC1 şi RC2. Această proprietate se demonstrează simplu, aplicând definiţia (3.1) 2. Translaţia sau deplasarea în timp Dacă )(][ zXnx Z→←atunci

)(][ zXzknx kZ −→←− (3.19) Demonstraţia rezultă imediat din aplicarea definiţiei (3.1).

)(][][][ zXzzzmxzknxknxZ kk

m

mn

n⋅=⋅=−=− −−

−∞=

−−∞

−∞=∑∑ ,

unde m=n-k. RC pentru z-k · X(z) este aceeaşi cu RC pentru X(z), exceptând z=0 pentru k>0 şi z=∞ pentru k<0. 3. Modularea în timp Dacă 21:),(][ rzrRCzXnx Z <<→← atunci

( ) 21:][ 00 rzrRCzeXnxe jZnj <<⋅→←⋅ ω−ω (3.20) Demonstraţie

( ) ( )21:

,][][][ 0000

rzrRC

zeXzenxznxenxeZ jn

n

jn

n

njnj

<<

⋅=⋅=⋅=⋅ ω−−∞

−∞=

ω−−∞

−∞=

ωω ∑∑

Regiunea de convergenţă a transformatei semnalului modulat este aceeaşi cu a semnalului iniţial, deoarece multiplicarea cu a variabilei z nu modifică modulul variabilei complexe, ci numai unghiul său.

0ω− je

Se poate stabili o relaţie mai generală, modulând cu 1, 00 ≠zz n .

20

100

00 :;][][][ rzzrRC

zzX

zznxznxznxzZ

n

n

n

nnn <<

=

== ∑∑

−∞=

−∞

−∞=

Dacă z0 este real, adică z0=a, se obţine scalarea în domeniul z, adică

( ) ( )zaXzanxznxanxaZn

n

n

n

nn 11][][][ −−−∞

−∞=

−∞

−∞==== ∑∑

95

Page 96: Prelucrarea digitala a semnalelor

Deoarece RC pentru X(z) este 21 rzr << , RC pentru X(a-1z) este

21

1 rzar << − sau 21 razra << .

4. Reflectarea semnalului Dacă RC: )(][ zXnx Z→← 21 rz <<r atunci

12

1 11:)(][r

zr

RCzXnx Z <<→←− − (3.21)

Demonstraţie

( ) )(][][][ 11 −−−∞

−∞=

−∞

−∞===−=− ∑∑ zXzmxznxnxZ

m

m

n

n

unde m = -n.

RC a lui X(z-1) este 21

1 rzr << − sau, echivalent, 12

11r

zr

<<

Se observă că dacă z0 aparţine RC a lui x[n], 1/z0 aparţine RC pentru x[-n]. 5. Derivarea transformatei Z Dacă RCzzXnx Z ∈→← )(][ atunci

RCzdz

zdXznnx Z ∈−→←)(][ (3.22)

Demonstraţie Prin derivarea ambilor membri ai relaţiei (3.1) rezultă

[ ] ][][]][[)( 111 nxnZzznxnzznnxdz

zdX n

nn

n −−∞

−∞=

−∞

−∞=

−− −=−=−= ∑∑ .

Ambele transformate au aceeaşi regiune de convergenţă. 6. Transformarea diferenţei Dacă RCzzXnx Z ∈→← )(][ atunci

0),()1(]1[][ 1 =−∈−→←−− − zRCzzXznxnx Z (3.23) Demonstraţia se obţine aplicând proprietatea 2 de translare în timp.

96

Page 97: Prelucrarea digitala a semnalelor

7. Însumarea în timp Dacă RCzzXnx Z ∈→← )(][ atunci

1,1

)(][][ 1 =−∈−

→←= −−∞=∑ zRCz

zzXkxny Z

n

k (2.24)

Demonstraţie

Semnalul sumă satisface relaţia , a

cărei tranformată Z este . În consecinţă,

∑−∞=

=n

kkxny ][][ ]1[][][ −−= nynynx

)(zY)()( 1zzYzX −−=

11)(−−

→zzXZ][][

−∞=←= ∑ kxny

n

k.

8. Transformarea semnalului complex conjugat Dacă unde este o secvenţă complexă, atunci

RCzzXnx Z ∈→← )(][ ][nx

)(][* ∗∗→← zXnx Z Demonstraţie

( ) RCzzXznxznxnxZn

n

n

n ∈=

== ∗∗

∗∞

−∞=

−∗∞

−∞=

−∗∗ ∑∑ ),(][][][

9. Teorema convoluţiei Dacă 111 )(][ RCzXnx Z→←

222 )(][ RCzXnx Z→←atunci

)()()(][][][ 2121 zXzXzXnxnxnx Z =→←∗= (3.25) cu RC intersecţia RC1 cu RC2. Demonstraţie

][][][ 21 knxkxnxk

−= ∑∞

−∞=

=⋅

−=⋅= −

−∞=

−∞=

−∞=

− ∑ ∑∑ n

n kn

n zknxkxznxzX ][][][)( 21

97

Page 98: Prelucrarea digitala a semnalelor

)()(][)(

][][][][

1212

2121

zXzXzkxzX

zzmxkxzknxkx

k

k

km

mkn

n

k

⋅=⋅=

=⋅=−=

−∞

−∞=

−−∞

−∞=

−∞=

−∞=

−∞

−∞=

∑∑∑∑

Convoluţia este una dintre cele mai importante proprietăţi ale transformatei Z deoarece transformă convoluţia a două semnale din domeniul timp într-o multiplicare a transformatelor Z. Uneori, pentru calculul convoluţiei a două semnale se recurge la folosirea transformatei Z, după cum urmează: 1 - se calculează transformatele Z ale semnalelor implicate în convoluţie

domeniul timp → domeniul z. ][)(

][)(

22

11

nxZzXnxZzX

==

]

2 - se multiplică cele două transformate )()()( 21 zXzXzX ⋅= în domeniul z.

3 - se efectuează transformarea inversă )(][ 1 zXZnx −= domeniul z → domeniul timp.

În multe cazuri această procedură implică un efort de calcul mai mic decât calculul direct al sumei de convoluţie. 10. Teorema corelaţiei Dacă , , )(][ 11 zXnx Z→← 1RCz∈ )(][ 22 zXnx Z→← 2RCz∈

atunci r (3.26) )()()(][][][ 12121 2121

−∞

−∞=⋅=→←−= ∑ zXzXzRlnxnxl xx

Z

nxx

RC: intersecţia RC pentru X1(z) cu RC pentru X2(z-1) Demonstraţie Se reaminteşte că r [][][ 2121

lxlxlxx −∗=Folosind proprietăţile de convoluţie şi de reflectare în timp, se

obţine )()(][][)( 1

212121

−⋅=−⋅= zXzXlxZlxZzR xx . Ca şi în cazul convoluţiei, corelaţia a două semnale poate fi calculată mai uşor cu relaţia (3.26), urmată de transformarea inversă a rezultatului. 11. Teorema produsului semnalelor în domeniul timp Dacă , )(][ 11 zXnx Z→← ul rzr 11 <<

98

Page 99: Prelucrarea digitala a semnalelor

şi , )(][ 22 zXnx Z→← ul rz 22 <<r atunci

dvvvzXvX

jzXnxnxnx

cZ 1

213213 )(21)(][][][ −

π=→←⋅= ∫ (3.27)

unde c este un contur închis care include originea plasat în regiunea comună de convergenţă a lui X1(v) şi X2(1/v). Demonstraţie

n

n

n

nznxnxznxzX −

−∞=

−∞

−∞=⋅== ∑∑ ][][][ )( 2133

Se înlocuieşte x1[n] cu transformata inversă a lui X1(z), conform relaţiei (3.17)

dvvvXj

nx nc

111 )(

21][ −∫π

= ,

apoi se schimbă ordinea sumei cu integrala

dvvvzXvX

jdvv

vznxvX

jzX

c

n

nc

121

1213 )(

21][)(

21)( −−

−∞

−∞=

π=

π= ∫∑∫

Pentru a găsi RC pentru X3(z), se observă că dacă X1(v) converge pentru

ul rvr 11 << şi X2(z) pentru ul rzr 22 << , atunci RC pentru

vzX 2 este

ul rvzr 22 << . RC pentru X3(z) este cel puţin

uull rrzrr 2121 <<

Dacă , se obţine ][][ 12 nxnx ∗=

vdv

vzXvX

jznx

cn

n

π

=∗

∗∗−

−∞=∫∑ )(

21][ 2

Pentru z=1, rezultă expresia teoremei lui Parseval în domeniul z.

vdv

vXvX

jnx

cn

π=

∗∗

−∞=∫∑ 1)(

21][ 2 , unde c este un contur în RC.

12. Teorema valorii iniţiale Dacă x[n] este un semnal discret cauzal (x[n]=0 pentru n<0), atunci

)(lim]0[ zXxz ∞→

= (3.28)

99

Page 100: Prelucrarea digitala a semnalelor

Demonstraţie

...]2[]1[]0[][)( 21

0+++== −−

=

−∑ zxzxxznxzXn

n

Evident, pentru z→∞, z-n→0, pentru , şi (3.28) rezultă imediat. 1≥n În Tabelul 3.1 sunt date câteva perechi semnal – transformată Z, uzuale, frecvent utilizate în practică. Tabel 3.1

Semnal x[n]

Transformată Z X(z)

RC

1. δ[n] 1 întreg planul z

2. u[n] 111

−− z 1>z

3. n·u[n] ( )21

1

1 −

− zz 1>z

4. anu[n] 111

−− az az >

5. n·anu[n] ( )21

1

1 −

− azaz az >

6. -anu[-n-1] 111

−− az az <

7. -nanu[-n-1] ( )21

1

1 −

− azaz az <

8. ][

)1(!)!1( nua

knkn n

−−+

kaz )1(

11−−

az >

9. (cosω0n)u[n] 20

10

1

cos21cos1

−−

+−−

zzz

ωω

1>z

10. (sinω0n)u[n] 20

10

1

cos21sin

−−

+− zzz

ωω

1>z

11. (ancosω0n)u[n] 220

10

1

cos21cos1

−−

+−−

zaazaz

ωω

az >

100

Page 101: Prelucrarea digitala a semnalelor

12. (ansinω0n)u[n] 220

10

1

cos21sin

−−

+− zaazaz

ωω

az >

Exemplul 3.5. Să se determine transformata Z a semnalelor

a) , nnx =][1

b) , 22 ][ nnx =

c) . 33 ][ nnx =

Soluţie

a) Fie x . ][][ nun =1

)(−

=z

zzX .

Conform relaţiei (3.22) ,

21

1

2 )1()1(1)(

−=

−=

−−=−→←

zz

zz

zz

dzdz

dzzdXzn Z .

b) Conform punctului a),( )21

=z

znZ . Aplicând (3.22) acestei relaţii,

rezultă

( ) 31

11

322

)1()1(

)1()1(

1 −

−−

−+

=−+

=

−−=

zzz

zzz

zz

dzdznZ

c) Aplicând (3.22) relaţiei precedente, se obţine

( ) 41

321

4

2

33

)1()41(

)1()14(

1)1( −

−−−

−++

=−

++=

−+

−=z

zzzz

zzzzzz

dzdznZ

3. 3. Transformate Z exprimate prin funcţii raţionale

3.3.1. Poli şi zerouri

O familie importantă de transformate Z este aceea pentru care X(z) este o funcţie raţională, adică un raport de două polinoame în z-1 sau z. Zerourile unei transformate Z, X(z), sunt valorile lui z pentru care X(z)=0. Polii transformatei Z sunt valorile lui z pentru care X(z)=∞.

101 Dacă X(z) este o funcţie raţională, atunci

Page 102: Prelucrarea digitala a semnalelor

=

=

−−

−−

=++++

== N

k

kk

M

k

kk

NN

MM

za

zb

zazaazbzbb

zDzNzX

0

01

10

110

......

)()()( (3.29)

Dacă a0 ≠0 şi b0 ≠0, (3.29) se mai poate scrie ( ) ( )( ) ( )

( ) ( )( ) ( )

( )

( )∏

=

=−+−

−⋅⋅=

−−−−

=

=++++++

⋅==

N

kk

M

kk

MN

N

MNM

NNN

MMM

N

M

pz

zzzG

pzpzzzzzz

ab

aazaazbbzbbz

zazb

zDzNzX

1

1

1

1

0

0

01

01

01

01

0

0

...

...

/...//.../

)()()(

(3.30)

unde 0

0

ab

=G .

Transformata X(z) are M zerouri finite la z=z1, z2 … zM, (rădăcinile polinomului de la numărător), N poli finiţi la z=p1, p2 … pN (rădăcinile numitorului) şi MN − zerouri (dacă N>M) sau poli (dacă N<M) în origine. Poli şi zerouri pot apărea şi la infinit. Un zerou este la infinit, dacă X(∞)=0 şi un pol este la infinit, dacă X(∞)=∞. Numărul de poli şi zerouri de la zero şi infinit este acelaşi, deoarece un pol în zero echivalează cu un zerou la infinit şi un zerou în zero echivalează cu un pol la infinit. Polii şi zerourile de la 0 şi/sau ∞ se numesc banali sau triviali. Zerourile şi polii finiţi determinaţi de coeficienţii bk şi ak se mai numesc nebanali sau netriviali. Se face convenţia ca în planul complex un pol să fie reprezentat prin "x" iar un zero prin "o". Ordinul de multiplicitate al polilor sau zerourilor se indică printr-un număr plasat în apropierea semnului "x" sau "o". Evident, RC a unei transformate Z nu poate conţine poli. Dacă pentru o transformată Z se cunosc polii şi zerourile, atunci, conform (3.30), se poate determina transformata Z până la un factor de câştig G şi apoi, eventual, semnalul original.

3.3.2. Descompunerea transformatelor Z raţionale În continuare se vor aborda câteva aspecte referitoare la descompunerea în fracţii simple a transformatelor Z raţionale, care se vor

102

Page 103: Prelucrarea digitala a semnalelor

dovedi foarte utile în implementarea sistemelor discrete de ordin superior. Fie o transformată Z, exprimată sub forma dată de relaţia (3.29). O

funcţie raţională de forma (3.29) se numeşte proprie, dacă aN≠0 şi M<N. Conform relaţiei (3.30), aceasta înseamnă că numărul zerourilor finite este mai mic decât al polilor finiţi. În caz contrar funcţia se numeşte improprie. O funcţie raţională improprie (M≥N) poate fi întotdeauna scrisă ca suma dintre o funcţie polinomială şi una raţională proprie, adică

)()(

)()()( 1)(1

10 zDzNzczcc

zDzNzX NM

MN ++++== −−−

− K (3.31)

sau, altfel scris

)()(0

zXzczX pr

NM

k

kk += ∑

=

− (3.31')

Dacă polii lui Xpr(z) sunt distincţi, atunci

112

21

1

1

111)( −−− −

++−

+−

=zp

Azp

Azp

AzXN

Npr L (3.32)

În cazul în care coeficienţii ak şi bk sunt reali, polii complecşi apar în perechi conjugate şi se grupează după cum urmează:

22

11

110

211

11

11 1111 −−

−∗−∗−

−∗∗−∗

−∗

− +++

=+−−−+−

=−

+− zaza

zbbzppzppz

pzAAzApAzp

ApzA

cc

cc

(3.33) unde

221

10

)Re(2

)Re(2)Re(2

paApb

paAb

cc

cc

=−=

−==∗

(3.34)

Combinând (3.31), (3.32) şi (3.33), X(z) devine

∑∑∑=

−−

=−

=

+++

++

+=21

12

21

1

110

11

0 11)(

k

k kckc

kckck

k rk

rkNM

k

kk zaza

zbbza

bzczX (3.35)

unde k1+2k2=N, indicele "c" face referire la poli complecşi, iar "r" la poli reali. Evident, pentru M=N, primul termen este o constantă, iar pentru M<N, acesta dispare. O formă alternativă pentru exprimarea lui X(z) se obţine plecând de la expresia (3.30) care, pentru a0=1 poate fi scrisă echivalent

103

Page 104: Prelucrarea digitala a semnalelor

( )

( )∏

=

=

−= N

kk

M

kk

zp

zzbzX

1

1

1

1

0

1

1)( (3.36)

Dacă a0≠1, se poate obţine (3.36) din (3.30) prin împărţirea numărătorului şi numitorului la a0. În această expresie polii complex conjugaţi şi zerourile complex conjugate se combină pentru a forma expresii cu coeficienţii reali, de forma

( )( )( )( ) 2

21

1

22

11

11

11

11

1111

−−

−−

−∗−

−∗−

++++

=−−−−

zazazbzb

zpzpzzzz

kckc

kckc

kk

kk (3.37)

unde

22

22

11 )Re(2)Re(2

kkckkc

kkckkc

pazb

pazb

==

−=−= (3.38)

Presupunând M=N, se obţine

∏∏=

−−

−−

=−

++++

++

=21

12

21

1

22

11

11

1

0 11

11)(

k

k kckc

kckck

k rk

rk

zazazbzb

zazbbzX (3.39)

unde N=k1+2k2

3.3.3. Localizarea polilor şi comportarea în domeniul timp a semnalelor cauzale

În continuare se va considera relaţia dintre poziţia polilor şi forma semnalului corespunzător din domeniul timp pe baza perechilor semnal – transformată Z din tabelul 3.1. Se va opera cu semnale reale, cauzale ale căror caracteristici depind de poziţionarea polilor transformatei Z în regiunea 1<z sau 1>z . Deoarece cercul 1=z are raza egală cu 1, el se numeşte cercul unitate. Dacă un semnal real are o transformată Z cu un pol, acesta trebuie sa fie real. Singurul semnal de acest fel este semnalul real exponenţial.

azRCaz

zXnuanx Zn >−

=→←= −111)(][][ (3.40)

care are un zero la z1=0 şi un pol la p1=a pe axa reală. În figura 3.3 este prezentată comportarea semnalului în funcţie de poziţia polului faţă de cercul unitate. Semnalul este exponenţial descrescător dacă polul este în interiorul cercului unitate; constant, dacă 104

Page 105: Prelucrarea digitala a semnalelor

polul este pe cercul unitate şi exponenţial crescător când acesta este în afara cercului unitate. În plus, un pol negativ are ca rezultat un semnal cu semnul alternant.

Figura 3.3. Comportarea în domeniul timp a unui semnal cauzal a cărui transformată Z are un singur pol real

Un semnal real a cărui transformată Z are un pol real dublu este de

forma ][][ nunanx n= (3.41)

şi comportarea sa este ilustrată în figura 3.4. Se observă că un pol dublu pe cercul unitate are ca rezultat un semnal nelimitat.

În figura 3.5 este prezentat cazul unui semnal cauzal a cărui transformată Z are o pereche de poli complex conjugaţi (p şi p*, |p|=|p*|=r). Conform tabelului 3.1, aceştia au ca rezultat un semnal sinusoidal cu o înfăşurătoare exponenţială.

Distanţa r de la pol la origine determină anvelopa sinusoidei, iar unghiul pe care îl face polul cu axa reală determină frecvenţa sinusoidei. Se observă că amplitudinea semnalului este descrescătoare pentru , constantă pentru r şi crescătoare pentru r .

1<r1= 1>

105

Page 106: Prelucrarea digitala a semnalelor

Figura 3.4. Comportarea în domeniul timp a unui semnal real cauzal a cărui transformată Z are un pol real dublu

Figura 3.5. Comportarea oscilatorie în domeniul timp a unui semnal real cauzal a cărui

transformată Z are o pereche de poli complex conjugaţi

106

Page 107: Prelucrarea digitala a semnalelor

Spre deosebire de cazul polului real dublu plasat pe cercul unitate, o pereche de poli complex conjugaţi plasaţi pe cercul unitate au ca rezultat un semnal real limitat. În figura 3.6 se prezintă alura unui semnal cauzal real a cărui transformată Z are o pereche de poli complex conjugaţi cu ordin de multiplicitate m=2 pe cercul unitate.

Figura 3.6. Semnal real cauzal a cărui transformată Z are o pereche dublă de poli

complex conjugaţi pe cercul unitate. În concluzie, semnalele reale cauzale ale căror transformate Z au poli reali simpli sau perechi simple de poli complex conjugaţi în interiorul sau pe cercul unitate sunt întotdeauna mărginite în amplitudine. Mai mult, semnalul cu un pol (sau o pereche de poli complex conjugaţi) plasaţi în apropierea originii descreşte mult mai rapid decât cel pentru care aceştia sunt plasaţi în apropierea cercului unitate (dar, evident, în interiorul lui).

3.3.4. Funcţia de transfer (sau de sistem) a unui sistem discret, liniar invariant în timp

În capitolul precedent s-a arătat că răspunsul unui sistem discret, liniar, invariant în timp la un semnal de intrare x[n] se poate obţine efectuând convoluţia dintre semnalul de intrare şi răspunsul la impuls al sistemului. Transformata Z a produsului de convoluţie, prezentată în paragraful 3.2, permite scrierea

)()()( zXzHzY ⋅= (3.42) unde Y(z) este transformata Z a secvenţei de ieşire, X(z) este transformata Z a secvenţei de intrare, H(z) este transformata Z a răspunsului la impuls h[n]. Din (3.42) rezultă

107

Page 108: Prelucrarea digitala a semnalelor

)()()(

zXzYzH = (3.43)

Mărimea H(z), care este transformata Z a răspunsului la impuls, caracterizează sistemul în domeniul z şi se numeşte funcţie de transfer sau funcţie (de) sistem. Relaţia (3.43) este utilă pentru aflarea funcţiei de transfer pentru sisteme descrise de o ecuaţie cu diferenţe, de forma (2.114). Aplicând transformata Z acestei relaţii se obţine

∑∑=

=

− +−=M

k

kk

N

k

kk zzXbzzYazY

01)()()( (3.44)

∑∑=

=

− =

+

M

k

kk

N

k

kk zbzXzazY

01)(1)( (3.44')

=

=

+= N

k

kk

M

k

kk

za

zb

zXzY

1

0

1)()( (3.44'')

sau, echivalent

=

=

+= N

k

kk

M

k

kk

za

zbzH

1

0

1)( (3.45)

Prin urmare, un SDLIT descris de o ecuaţie cu diferenţe are o funcţie de transfer raţională. Relaţia (3.45) este forma generală a funcţiei de transfer pentru un SDLIT, din care derivă două forme particulare. Dacă ak=0 pentru 1≤ k ≤ N, (3.45) devine

∑∑=

=

− ==M

k

kMkM

M

k

kk zb

zzbzH

00

1)( (3.46)

În acest caz H(z) conţine M zerouri ale căror valori sunt determinate de coeficienţii sistemului bk şi un pol banal de ordin de multiplicitate M în origine. Deoarece sistemul conţine numai poli banali (în z=0) şi M zerouri nebanale, el se numeşte numai cu zerouri (all-zero system). Un astfel de sistem este cu răspuns finit la impuls (FIR). Pe de altă parte, dacă bk=0 pentru 1≤ k ≤ M, (3.45) devine

108

Page 109: Prelucrarea digitala a semnalelor

1,1

)( 0

0

0

1

0 ≡=+

=

∑∑=

=

−a

za

zb

za

bzH N

k

kNk

N

N

k

kk

(3.47)

În acest caz H(z) are N poli a căror valoare este determinată de coeficienţii ak şi un zerou banal de ordin de multiplicitate N în origine. În general, nu se face referire la zerourile banale şi, în consecinţă, sistemul conţine numai poli nebanali, acesta numindu-se sistem numai cu poli (all-pole system). Forma generală (3.45) a funcţiei de transfer a unui SDLIT conţine atât zerouri, cât şi poli, şi sistemul se numeşte sistem poli-zerouri, cu N poli şi M zerouri. Polii şi/sau zerourile de la z=0 şi z=∞ sunt impliciţi şi nu se consideră.

3.4. Transformata Z inversă pentru funcţii sistem raţionale

În (3.1.2) s-a stabilit relaţia de inversiune a transformatei Z ca fiind

dzzzXj

nx nc

1)(21][ −∫π

= (3.48)

unde integrala se evaluează pe un contur închis c, care cuprinde originea şi se găseşte în regiunea de convergenţă a lui X(z).

În practică, evaluarea transformatei Z inverse se realizează prin următoarele trei metode: 1. Evaluarea directă a relaţiei (3.48) folosind teorema reziduurilor; 2. Dezvoltarea în serie de puteri de variabilă z sau z-1; 3. Descompunerea în fracţii simple şi folosirea tabelelor.

3.4.1. Evaluarea directă Evaluarea directă a integralei pe contur (3.48) se poate efectua cu

ajutorul teoremei reziduurilor a lui Cauchy care afirmă că dacă f(z) este o funcţie de variabilă complexă, c un contur închis în domeniul z şi f(z) nu are poli în z=z0, atunci

=−π ∫ cconturuluiafarainestedacă0

cconturuluiinteriorulinestedacă)()(21

0

00

0 zzzf

dzzzzf

j c (3.49)

109

Page 110: Prelucrarea digitala a semnalelor

Mai general, dacă în interiorul conturului c există poli multipli ai integrandului şi f(z) nu are poli în z=z0, atunci

( )

−=

−π=

cconturuluiafaraînestedacă

0

cconturuluiinteriorulînestedacă)(

)!1(1

)(21

0

01

1

0

0

z

zdz

zfdk

dzzzzf

jzz

k

k

c k (3.50)

Valoarea membrului drept din relaţiile (3.49) şi (3.50) se numeşte reziduul polului la z=z0. Dacă se presupune că integrandul relaţiei (3.48) este de forma

,)()()(

zgzfzP = (3.51)

unde f(z) nu are poli în interiorul conturului c în punctele z1, z2, …, zn şi g(z) este un polinom cu rădăcini distincte simple z1, z2, …, zn în interiorul conturului c, atunci

)()(

21)(

21

)()(

21

111i

n

ii

i

in

icc

n

i i

ic

zAdzzzzA

jdz

zzzA

jdz

zgzf

j ∑∑ ∫∫ ∑∫===

=−π

=

−π

(3.52) unde

( ) ( )i

izz

izziii zgzfzzzPzzzA

==

−=⋅−=)()()()( (3.53)

Valorile Ai(zi) sunt reziduurile polilor corespunzători la z = zi, i = 1,2,… . Cu alte cuvinte, valoarea integralei pe contur este egală cu suma reziduurilor tuturor polilor din interiorul conturului c. Relaţia (3.52) s-a obţinut prin descompunerea în fracţii simple a integrandului şi aplicarea relaţiei (3.49). Dacă g(z) are rădăcini multiple în interiorul conturului c, se foloseşte relaţia (3.50) pentru evaluarea reziduurilor.

Cu ajutorul teoremei reziduurilor, x[n] din (3.48) se calculează ca fiind

i

i

zzi

ni

zzcdinpoliitoti

nnc

zzXzz

zzXluiereziduurildzzzXj

nx

=

=

−−

∑∫

⋅⋅−=

==π

=

1

11

)()(

)()(21][

(3.54)

110

Page 111: Prelucrarea digitala a semnalelor

pentru cazul în care zi sunt poli simpli sau cu relaţia

∑∫ −− =π

=cdinpoliitoti

nnc

zzXluiereziduurildzzzXj

nx 11 )()(21][ (3.54’)

în cazul în care există şi poli multipli. Dacă X(z) zn-1 nu are poli în interiorul conturului c pentru una sau mai multe valori ale lui n, atunci x[n]=0 pentru aceste valori.

Exemplul 3.5.

Să se determine originalul lui azaz

zX >−

= − ,1

1)( 1 , prin

evaluarea integralei pe contur. Soluţie

∫∫ −π=

−π= −

c

n

c

ndz

azz

jdz

azz

jnx

21

121][ 1

1, unde c este un cerc de rază mai

mare decât a . Integrandul este de forma (3.51), cu f(z) = zn şi g(z) = z – a. Există două cazuri: a) n ≥ 0, când f(z) are numai zerouri în origine şi, conform (3.49), rezultă

naz

n aznx ===

][ .

b) n < 0, f(z) = zn are un pol de ordinul n în z = 0.

Pentru n = -1, rezultă 011)(

121]1[

0=+

−=

−π=−

==∫

azzc zaz

dzazzj

x

Pentru n = -2, rezultă

011)(

121]2[ 2

02 =+

−=

−π=−

==∫

azzc zazdz

ddzazzj

x

În general,

=+

−−=

−π=−

==−

∫az

nz

n

n

c n zazdzd

ndz

azzjnx 11

)!1(1

)(1

21][

01

1

01)(

1)!1()!1()1(

0

1 =+−−

−−=

=

−n

zn

n

aaznn .

În concluzie, x[n] = anu[n]. Relaţia (3.48) este valabila pentru toţi n, dar, pentru n negativ,

aplicarea ei poate deveni greoaie, datorită polului multiplu care apare în

111

Page 112: Prelucrarea digitala a semnalelor

z=0. Acest lucru poate fi evitat prin efectuarea schimbării de variabilă z=p-1, astfel încât (3.48) devine [17]

dppppXj

nx nc

21'

)/1(2

1][ −+−∫π−

= (3.55)

Conturul de integrare c' din (3.55) este parcurs în sens orar. Multiplicând cu -1 pentru a inversa sensul de parcurgere a conturului, schimbarea de variabilă anterioară conduce la expresia

∑∫ −−−− =π

='

11'

)/1(luieReziduuril)/1(21][

cdinpoliitoti

nnc

ppXdpppXj

nx (3.56)

Dacă conturul c din (3.48) este un cerc de rază r în planul z, conturul c' din (3.56) este un cerc de rază 1/r în planul p. Polii lui X(z) care erau în afara conturului c corespund acum polilor lui X(1/p) care sunt în interiorul conturului c', şi invers. Pentru exemplul 3.5, poate fi exprimat sub forma

][nx

dpap

pj

nxc

n

∫ −π=

−−

'

1

121][ (3.57)

Conturul de integrare c' este acum un cerc de rază mai mică decât 1/a. Pentru nu există singularităţi în interiorul conturului, astfel încât

. 0<n

0][ =nx

3.4.2. Transformata Z inversă obţinută prin descompunere în serie de puteri

Fiind dată o transformată Z, X(z), cu RC precizată, aceasta se poate

descompune într-o serie de puteri de forma n

nn zczX −

−∞=∑=)( (3.58)

care este convergentă în RC.

Exemplul 3.6. Să se determine transformata Z inversă pentru

21 5,05,111)( −− +−

=zz

zX

dacă a) RC: 1>z

112

Page 113: Prelucrarea digitala a semnalelor

b) RC: 5,0<z Soluţie

a) Conform paragrafului 3.1.1, deoarece RC este exteriorul unui cerc, este de aşteptat ca x[n] să fie cauzal şi se va căuta o descompunere într-o serie de puteri negative ale lui z. Prin împărţirea numărătorului la numitor, se obţine

...1631

815

47

231

21

231

1)( 4321

21+++++=

+−= −−−−

−−zzzz

zzzX

Comparând această relaţie cu (3.1), rezultă

=↑

...1631,

815,

47,

23,1][nx

b) În acest caz RC este interiorul unui cerc şi, în consecinţă, semnalul x[n] este pur necauzal. Descompunerea se va face în puteri pozitive ale lui z, prin efectuarea împărţirii

54

543

43

432

32

32

65432

12

2

2

3031/

304515

1415/

14217

67/

693

62301462

123

21

23/

231

1

zz

zzz

zz

zzz

zz

zzz

zzzzz

zz

zz

zz

−+−

−+−

−+−

+++++

+−

−+−−−

K

În acest caz x[n]=0 pentru n≥0. Comparând rezultatul cu (3.1), se obţine ↑

= 0,0,2,6,14,30,62][ Knx

3.4.3. Transformata Z inversă obţinută prin descompunerea în fracţii simple şi folosirea tabelelor

În metoda folosirii tabelelor se urmăreşte exprimarea funcţiei X(z) ca o combinaţie liniară 113

Page 114: Prelucrarea digitala a semnalelor

)()()()( 2211 zXzXzXzX kkα+α+α= K (3.59) unde X1(z), X2(z) … Xk(z) sunt expresii ale căror transformate inverse x1[n], x2[n] … xk[n] se găsesc în tabelul 3.1 al perechilor semnal - transformată Z. Dacă este posibilă o astfel de descompunere, atunci x[n], transformata inversă a lui X(z), se obţine folosind proprietatea de liniaritate a transformatei Z, rezultând

][][][][ 2211 nxnxnxnx kkα+α+α= K (3.60) Descompunerea (3.59) este utilă în special dacă X(z) este o funcţie raţională, ca în (3.29). Fără a pierde din generalitate, presupunem a0=1, astfel încât (3.29) poate fi scrisă sub forma

NN

MM

zazazbzbb

zDzNzX −−

−−

+++++

==K

K1

1

110

1)()()( (3.61)

În cazul în care M≥N, X(z) poate fi întotdeauna scrisă ca suma dintre o funcţie polinomială şi una raţională proprie, adică

)()(

)()()( 1)(1

10 zDzNzczcc

zDzNzX NM

MN ++++== −−−

− K (3.62)

Transformata Z inversă a funcţiei polinomiale se poate determina simplu din definiţia transformatei Z, de aceea se va considera numai cazul transformării unei funcţii raţionale proprii. Pentru a determina transformata Z inversă a unei funcţii raţionale proprii, întâi se va descompune aceasta în fracţii simple, apoi se va inversa fiecare termen. Fie X(z) o funcţie raţională proprie, adică

NN

MM

zazazbzbb

zDzNzX −−

−−

+++++

==K

K1

1

110

1)()()( (3.63)

unde aN≠0 şi M<N. Pentru simplificarea calculelor ulterioare se elimină puterile negative ale lui z prin multiplicarea numărătorului şi numitorului expresiei (3.63) cu zN, rezultând

NNN

MNM

NN

azazzbzbzbzX

K

K

+++++

= −

−−

11

110)( (3.64)

Deoarece N>M, funcţia

NNN

MNM

NN

azazzbzbzb

zzX

K

K

+++++

= −

−−−−

11

121

10)( (3.65)

este, de asemenea, proprie.

114

Page 115: Prelucrarea digitala a semnalelor

Pentru a descompune în fracţii simple (3.63) sau (3.65), întâi trebuie factorizat numitorul, în factori care conţin polii p1, p2, … pN ai lui X(z). Se disting două cazuri:

a) Poli distincţi Se presupune că polii p1, p2, … pN sunt distincţi şi (3.65) se descompune după cum urmează:

N

N

pzA

pzA

pzA

zzX

−++

−+

−= K

2

2

1

1)( (3.66)

Coeficienţii NkAk ,1, = se determină din relaţia

kpz

kk z

zXpzA=

−=

)()( (3.67)

Relaţiile (3.66) şi (3.67) sunt adevărate atât pentru poli reali cât şi complecşi, cu condiţia să fie distincţi. Dacă coeficienţii Nkak ,1, = ,

sunt reali, în cazul în care numitorul are r\d\cini complexe, acestea apar în perechi complex conjugate. b) Poli multipli Dacă X(z) are un pol de multiplicitate m, atunci numitorul conţine factorul (z-pk)m şi descompunerea în fracţii simple va conţine termenii

mk

mk

k

k

k

k

pzA

pzA

pzA

)()( 221

−+

−+

−K (3.68)

unde

miz

zXpzdzd

imA

kpz

mk

im

im

ik ,1,)()()!(

1=

⋅−−

==

(3.69)

După descompunerea în fracţii simple, se inversează fiecare termen. În cazul polilor distincţi, relaţia (3.66) se scrie

112

211

1 11

11

11)( −−− −

++−

+−

=zp

Azp

Azp

AzXN

NK (3.70)

Transformata Z inversă, x[n] = Z-1X(z) se obţine prin inversarea fiecărui termen din (3.70) şi considerarea combinaţiei liniare corespunzătoare. Din tabelul 3.1 rezultă

( )

<−−−

>=

− −−

necauzalsemnal,:dacă]1[

cauzalsemnal,:dacă][

11

11

knk

kn

k

k pzRCnup

pzRCnup

zpZ

(3.71)

115

Page 116: Prelucrarea digitala a semnalelor

Dacă x[n] este cauzal, RC este maxpz > , unde

Npppp K,,max 21max = . În acest caz toţi termenii din (3.59) au ca rezultat componente de semnal cauzal şi semnalul x[n] este

( ) ][][ 2211 nupApApAnx nNN

nn K++= (3.72) Dacă toţi polii sunt distincţi, dar unii sunt complecşi, aceştia din

urmă vor avea ca rezultat exponenţiale complexe. Dacă polinoamele ce reprezintă numărătorul, respectiv numitorul lui X(z) au coeficienţi reali şi dacă pk este un pol complex atunci şi conjugatul său, , este un pol. Coeficienţii corespunzători din dezvoltarea în fracţii simple sunt, de asemenea, complex conjugaţi, iar contribuţia acestei perechi de poli complex conjugaţi este

∗kp

( ) ( ) ][][][ nupApAnxn

kkn

kkk∗∗+= . (3.73)

Mărimile Ak şi pk pot fi exprimate în formă polară kj

kk eAA α= (3.74) kj

kk epp β= (3.75)

unde kA şi |pk| reprezintă modulele iar αk şi βk fazele componentelor Ak şi pk. Înlocuind (3.74) şi (3.75) în (3.73), se obţine

( ) ( )( ) ][][ nueepAnx nkkk njnjnkkk

α+β−α+β += (3.76) sau, echivalent

( ) ][cos2][ nunpAnx kkn

kkk α+β= (3.77) În concluzie,

( ) ][cos211 11

1 nunpAzp

Azp

AZ kkn

kkk

k

k

k α+β=

−+

− −∗

−− (3.78)

116

dacă RC: kpz > . Fiecare pereche de poli complex conjugaţi va determina o componentă reală, armonică, cauzală, cu o anvelopă exponenţială (crescătoare pentru 1>kp , descrescătoare pentru 1<kp şi

constantă pentru 1=kp ). Unghiul dintre raza ce uneşte originea cu polul şi axa reală pozitivă va determina frecvenţa semnalului sinusoidal. Zerourile sau, echivalent, numărătorul lui X(z) influenţează indirect amplitudinea şi faza lui xk[n] prin coeficienţii Ak.

Page 117: Prelucrarea digitala a semnalelor

În cazul prezenţei unui pol dublu, transformata este dată în tabelul 3.1.

( )][

121

11 nunp

pz

pzZ n=

− −

−− , RC: pz > (3.79)

În cazul polilor multipli, reali sau complecşi, este necesară

inversarea termenilor de forma ( )mkpz

A−

.

Pentru găsirea originalului în cazul polilor al căror ordin de multiplicitate este mai mare decât 2 se folosesc proprietăţile transformatei Z.

Exemplul 3.7. Să se determine semnalul cauzal x[n] care are transformata Z

( )( )211 11

1)(−− −+

=zz

zX (3.80)

Soluţie Se descompune X(z) în fracţii simple.

2321

2

2

)1(11)1)(1()(

−+

−+

+=

−+=

zA

zA

zA

zzz

zzX (3.81)

41)()1(

11 =

+=

−=zzzXzA (3.82)

21)()1(

1

2

3 =−

==z

zzXzA (3.83)

43)()1(

1

2

2 =

−=

=zz

zXzdzdA (3.84)

( )21

1

1112

11

143

11

41)(

−−−

⋅+−

⋅++

⋅=z

zzz

zX (3.85)

Se inversează fiecare termen al descompunerii, obţinându-se

][24

3)1(41][ nunnx n

++−= (3.86)

117

Page 118: Prelucrarea digitala a semnalelor

Exemplul 3.8. Să se determine transformata Z inversă a expresiei

21 5,05,111)( −− +−

=zz

zX (3.87)

dacă a) RC: 1>z

b) RC: 5,0<z

c) RC: 15, << z0

Soluţie 1121 5,011

12

5,05,111)( −−−− −

−−

=+−

=zzzz

zX (3.88)

p1=1 p2=0,5. a) În acest caz, dacă 1>z semnalul x[n] este cauzal

( ) ][5,02][)5,0(][)1(2][ nunununx nnn −=−= (3.89) b) pentru 5,0<z , semnalul x[n] este pur necauzal

[ ] ]1[)5,0(2][ −−+−= nunx n (3.90) c) pentru 15, << z0 , RC este un inel circular, ceea ce implică un

semnal bilateral, în care un termen corespunde unui semnal cauzal şi celălalt unui semnal necauzal. RC dată este suprapunerea regiunilor

5,0>z şi 1<z şi, deci, p2=0,5 produce partea cauzală şi p1=1 partea necauzală a semnalului.

][)5,0(]1[)1(2][ nununx nn −−−−= (3.91) 3.5. Transformata Z unilaterală În transformata Z bilaterală, semnalul era definit pentru întregul domeniu -∞<n<∞, ceea ce nu făcea posibilă evaluarea ieşirii sistemelor nerelaxate. Se reaminteşte că acestea erau descrise de ecuaţii cu diferenţe cu condiţii iniţiale nenule. Pentru evaluarea răspunsului sistemelor discrete cu condiţii iniţiale nenule se foloseşte transformata Z unilaterală, după cum se va vedea în paragraful 3.6.2.

118

Page 119: Prelucrarea digitala a semnalelor

3.5.1. Definiţie şi proprietăţi Transformata Z unilaterală a unui semnal x[n] este definită de relaţia

∑∞

=

−+ =0

][)(n

nznxzX (3.92)

Se foloseşte, de asemenea, notaţia şi ][nxZ +

)(][ zXnx Z +→←+

Transformata Z unilaterală diferă de cea bilaterală în limita inferioară a sumei, care este întotdeauna zero, indiferent dacă semnalul este sau nu, cauzal. Datorită acestei proprietăţi, transformata Z unilaterală are următoarele caracteristici: 1. Nu conţine informaţii despre semnalul x[n] pentru valori negative ale

variabilei independente. 2. Este unică numai pentru semnale cauzale, deoarece numai acestea

sunt zero pentru n<0. 3. Transformata Z unilaterală X+(z) a lui x[n] este identică cu cea

bilaterală a semnalului x[n] u[n]. Deoarece x[n] u[n] este cauzal, RC a transformatei sale Z şi, deci, RC a lui X+(z) este întotdeauna exteriorul unui cerc. În concluzie, când se foloseşte transformata Z unilaterală, nu mai este necesar a se specifica RC.

Exemplul 3.9. Să se determine transformata Z unilaterală a următoarelor

semnale: 5321

11 7521)(1,0,7,5,2,1][ −−−−+ ++++=→←=+

zzzzzXnx Z 31

22 75)(1,0,7,5,2,1][ −−+

↑++=→←=

+

zzzXnx Z

7543233 752)(1,0,7,5,2,1,0,0][ −−−−−+

↑++++=→←=

+

zzzzzzXnx Z

0,0)(][][

0,)(][][

1)(][][

66

55

54

>=→←+δ=

>=→←−δ=

=→←δ=

+

−+

+

+

+

+

kzXknnx

kzzXknnx

zXnnx

Z

kZ

Z

Principala aplicaţie a transformatei Z unilaterale vizează analiza sistemelor discrete descrise de ecuaţii liniare cu diferenţe cu coeficienţi

119

Page 120: Prelucrarea digitala a semnalelor

constanţi cu condiţii iniţiale nenule. În general, astfel de sisteme au implementare recursivă şi se presupun cauzale. În aceste condiţii ieşirea se calculează pentru , în condiţiile iniţiale prescrise. În aplicarea transformatei Z unilaterale la probleme de acest tip, proprietăţile de liniaritate şi deplasare în timp sunt de importanţă deosebită. Proprietatea de liniaritate pentru transformata Z unilaterală este identică cu proprietatea de liniaritate pentru transformata Z bilaterală, în schimb, cea de deplasare în timp este diferită.

0≥n

Proprietatea de deplasare în timp Caz I - întârziere Dacă )(][ zXnx Z +→←

+

atunci

0,][)(][1

>

−+→←− ∑

=

+−+

kznxzXzknxk

n

nkZ , (3.93)

şi, dacă x[n] este cauzal, atunci )(][ zXzknx kZ +−→←−

+

(3.94) Demonstraţie Aplicând definiţia (3.92), se obţine

knm

zXzmxzzmxzmxz

zmxzknxknxZ

k

m

mk

m

m

km

mk

km

kmn

n

−=

+=

+=

==−=−

+−

−=

−−∞

=

−−

−=

−−

−=

+−−∞

=

+

∑∑∑

∑∑

unde

,)(][][][

][][][

10

1

)(

0

(3.95)

Dacă în (3.95) se înlocuieşte m cu -n, rezultă (3.93). Caz II - anticipare Dacă )(][ zXnx Z +→←

+

atunci (3.96) 0,][)(][1

0>

−→←+ ∑

=

−++

kznxzXzknxk

n

nkZ

Demonstraţie

==+=+ ∑∑∞

=

−−−∞

=

+

km

kmn

n

zmxzknxknxZ )(

0

][][][

120

Page 121: Prelucrarea digitala a semnalelor

knm

zmxzXzzmxzmxzk

m

mkk

m

m

m

mk

+=

−=

−= ∑∑∑

=

−+−

=

−∞

=

unde

,][)(][][1

0

1

00 (3.97)

Dacă în (3.97) se înlocuieşte m cu -n, rezultă (3.96). Aplicaţii ale proprietăţii de deplasare în timp Transformarea diferenţelor Diferenţa de ordinul întâi pentru o secvenţă se defineşte prin relaţia

][]1[][ nxnxnx −+=∆ (3.98) Similar cu diferenţa de ordinul întâi, se defineşte diferenţa de ordinul al doilea, prin relaţia

][]1[][2 nxnxnx ∆−+∆=∆ (3.98') În general,

(3.98'') ][]1[][ 11 nxnxnx kkk −− ∆−+∆=∆

Dacă există, atunci există şi şi aceasta este

][)( nxZzX ++ = ][ nxZ k∆+

∑−

=

−−++ ∆−−−=∆1

0

1 ]0[)1()()1(][k

i

iikkk xzzzXznxZ (3.99)

unde este diferenţa de ordinul "i" pentru n=0 şi . ]0[xi∆ ]0[]0[0 xx =∆Aplicând transformata Z unilaterală relaţiei (3.98), se obţine

( ) ]0[)()1()(]0[)(

][]1[][

zxzXzzXxzXz

nxZnxZnxZ

−−=−−=

=−+=∆+++

+++

(3.100)

Relaţia (3.99) se obţine prin aplicarea transformatei Z unilaterale relaţiei (3.98''), exprimată în funcţie de diferenţele de ordin inferior. Relaţia (3.99) poate fi folosită pentru obţinerea transformatei Z a secvenţelor pentru care pentru un anumit . Din (3.99), X(z) se poate scrie sub forma

0][ =∆ nxk 1≥k

][)1(

1)1(]0[

1)(

1

0nxZ

zzx

zzzX k

k

iki

i∆

−+

−∆

−= +

=

+ ∑ (3.101)

Exemplul 3.10. Să se determine transformata Z pentru semnalele

a) 11 ][ nCnx =

121

Page 122: Prelucrarea digitala a semnalelor

b) 22 ][ nCnx =

Soluţie a) . Pentru această secvenţă, , nCnx n == 1

1 ][ 11][ =−+=∆ nnnx

0][]1[][2 =∆−+∆=∆ nxnxnx . Toate diferenţele de ordin mai mare decât 1 sunt, de asemenea, zero. Prin înlocuirea acestor valori în (3.101), se obţine

2)1(

−=

zznZ (3.102)

b) 2

)1(][ 22

nnCnx n−

== , pentru care

,2

)1(2

)1(][ 2212 nnnnnCCnx nn =

−−

+=−= +∆ . Diferenţele de

ordin superior lui 2 sunt egale cu zero. Aplicând (3.101), se obţine

1][22 =∆ nx

32

)1( −=

zzCZ n (3.103)

Similar, se poate verifica relaţia generală 1)1( +−= m

mn z

zCZ .

Transformarea sumelor parţiale

Fie suma parţială , generată de semnalul . Dacă

există pentru

∑−

=

1

0][

n

kkx ][nx

)(][ zXnxZ =+ rz > , atunci transformata sumei parţiale

de asemenea există şi, pentru 1,maxr>z , aceasta este

1)(][

1

0 −=

=

+

zzXkxZ

n

k (3.104)

Demonstraţie

][][][0

1

0nxkxkx

n

k

n

k−= ∑∑

=

=

][]1[][ nxnyny =−−

][ny

. Dacă se notează , rezultă

. Aplicând transforma ultimei relaţii şi ţinând

cont că şi este cauzal, se obţine

][][0

nykxn

k=∑

=+Z

).(z1

)( Xz

zzY−

= Dar

122

Page 123: Prelucrarea digitala a semnalelor

)(][][][][)(1

0

1

00zXkxZnxkxZkxZzY

n

k

n

k

n

k+

=

+=

= ∑∑∑−

=

==

+Z ∑−

=∆

1

0[

n

kx

][][][][1

00

1

0nxkxkxkx

n

k

n

k

n

k=−=∆ ∑∑∑

==

=

)(][1

0zXkxZ

n

k=

∆∑

=

+

∑−

=

1

0

n

kk

. Înlocuind pe

Y(z) în ultima relaţie, rezultă (3.104).

2)1(

−=

zznZ

( )31

0 1−=

∑−

=

+

zzkZ

n

k

21

0 2)1(

n

n

kCnnk =

−=∑

=

)(][ zXnx Z +→←+

)()1(lim][lim1

zXznxzn

+

→∞→−=

Exemplul 3.11.

Să se determine transformata a secvenţei . ]k

Soluţie. . Aplicând transformata Z

acestei expresii, rezultă .

Exemplul 3.12.

Să se determine transformata Z a sumei . Din relaţia (3.102)

se ştie că . Aplicarea directă a relaţiei (3.104) conduce la

rezultatul dorit . Se constată că s-a obţinut acelaşi

rezultat ca în (3.103), ceea ce, datorită unicităţii transformatei Z unilaterale pentru semnale cauzale, conduce la concluzia că şi originalele

sunt egale, adică , relaţie, evident, adevărată.

Teorema valorii finale Dacă

atunci (3.105)

Această teoremă este utilă în stabilirea alurii asimptotice a semnalului x[n] când se cunoaşte numai transformata sa X+(z), iar inversarea acesteia este complicată. Transformata diferenţei x[n+1]-x[n] a unui semnal cauzal este

123

Page 124: Prelucrarea digitala a semnalelor

( )

]0[)()1()(]0[][)(

][][]1[][]1[

0

0 1

)1(

00

zxzXzzXxzmxzzX

zmxznxznxznxnx

m

m

n m

mn

n

n

n

n

−−=−

−=−

−=−+=−+

++∞

=

−+

=

=

−−−∞

=

−∞

=

∑ ∑∑∑

Trecând la limită pentru z→1 se obţine

( ) ( ) ]0[)(1lim][]1[lim101

xzXzznxnxz

n

nz−−=−+ +

−∞

=→∑ (3.106)

După trecerea la limită, membrul stâng al egalităţii devine

( ) ( )

( ) ( ) ]0[][]0[]1[lim][]1[lim

][]1[][]1[lim

0

001

xxxkxnxnx

nxnxznxnx

k

k

nk

n

n

nz

−∞=−+=−+

=−+=−+

∞→=∞→

=

−∞

=→

∑∑ (3.107)

Comparând (3.106) cu (3.107), rezultă ( ) )(1lim][lim][

1zXzkxx

zk

+

→∞→−==∞

numită şi teorema valorii finale a unui semnal cauzal. Dacă semnalul este cauzal, regiunea de convergenţă este exteriorul unui cerc. Dacă cercul unitate este în domeniul de convergenţă,

1)(

=

+

zzX are valoare finită şi,

deci, . 0][ =∞x 3.6. Analiza SDLIT în domeniul z

În paragraful 3.3.3. s-a definit funcţia de transfer sau de sistem a unui

SDLIT şi s-a stabilit relaţia sa cu răspunsul la impuls şi ecuaţia cu diferenţe care descrie sistemul, folosindu-se transformata Z bilaterală, caz în care indicarea regiunii de convergenţă este obligatorie. Dacă sistemul şi semnalul de intrare sunt cauzale, relaţia (3.42) se scrie corespunzător pentru transformate Z unilaterale. În continuare se va prezenta folosirea funcţiei de sistem în determinarea răspunsului sistemului la o excitaţie arbitrară. Analiza va avea drept obiect sisteme poli-zerouri reprezentate de ecuaţii cu diferenţe cu coeficienţi constanţi cu condiţii iniţiale arbitrare.

124

Page 125: Prelucrarea digitala a semnalelor

3.6.1. Răspunsul sistemelor discrete descrise de funcţii de transfer raţionale în condiţii iniţiale nule

Fie un sistem poli-zerouri descris de ecuaţia cu diferenţe (2.114) şi funcţia de transfer corespunzătoare dată de (3.45). Funcţia de sistem H(z) este raportul a două polinoame B(z)/A(z). Mai mult, se presupune că şi semnalul de intrare are o transformată Z exprimată printr-o funcţie raţională, de forma

)()()(

zQzNzX = (3.108)

Această presupunere nu este foarte restrictivă, deoarece cele mai multe semnale de interes practic au transformata Z de această formă. Dacă sistemul este relaxat, condiţiile iniţiale ale sistemului sunt nule, adică y[-1]= y[-2]=…= y[-N]=0 şi transformata Z a ieşirii este

)()()()()()()(

zQzAzNzBzXzHzY

⋅⋅

== (3.109)

Se presupune că sistemul conţine polii simpli p1 p2 … pN şi transformata Z a semnalului de intrare are, de asemenea, polii simpli q1 q2

… qL unde pk≠qm pentru toţi k=1,2,… N şi m=1,2,… L. Dacă zerourile polinoamelor de la numărător B(z) şi N(z) nu coincid cu polii pk şi qk, astfel încât nu există anulare poli zerouri, atunci, dezvoltarea în fracţii simple a lui Y(z) este de forma

∑∑=

−=

− −+

−=

L

k k

kN

k k

k

zqQ

zpAzY

11

11 11

)( (3.110)

Transformarea Z inversă conduce la semnalul cauzal de ieşire

][)(][)(][11

nuqQnupAny nk

L

kk

nk

N

kk ∑∑

==+= (3.111)

Se observă că ieşirea y[n] este compusă din două părţi. Prima parte este funcţie de polii pk ai sistemului şi se numeşte răspuns natural , , al sistemului.

][nynr

][)(][1

nupAny nk

N

kknr ∑

== (3.112)

Partea a doua a răspunsului y[n] este funcţie de polii qk ai semnalului de intrare şi se numeşte răspuns forţat, , al sistemului. ][ny fr

125

Page 126: Prelucrarea digitala a semnalelor

][)(][1

nuqQny nk

L

kkfr ∑

== (3.113)

Coeficienţii Ak şi Qk sunt funcţii de ambele seturi de poli pk şi qk. Condiţiile iniţiale fiind nule, relaţia (3.111) reprezintă răspunsul de stare zero al sistemului. Dacă X(z) şi H(z) au unul sau mai mulţi poli în comun sau dacă X(z) şi/sau H(z) conţin poli multipli, atunci Y(z) va avea poli multipli şi, în consecinţă, dezvoltarea în fracţii simple a lui Y(z) va conţine termeni de forma 1/(1-piz-1)k, k=1,2,…m, unde m este ordinul polului pi. Inversarea acestor factori va conduce la termeni de forma în ieşirea y[n] [23].

ni

k pn 1−

3.6.2. Răspunsul sistemelor discrete descrise de funcţii de transfer raţionale în condiţii iniţiale nenule

În acest caz se presupune că semnalul de intrare x[n] se aplică sistemului poli-zerouri la n=0, adică semnalul de intrare s-a presupus cauzal. Se presupun, de asemenea, condiţiile iniţiale y[-1], y[-2] … y[-N] nenule pentru sistem. Deoarece intrarea este un semnal cauzal şi deoarece se doreşte determinarea ieşirii y[n] pentru n≥0, se va folosi transformata Z unilaterală, care permite utilizarea condiţiilor iniţiale. Conform relaţiei (3.93), transformata Z unilaterală a relaţiei (2.114) este

)(][)()(011

zXzbznyzYzazYM

k

kk

k

n

nkN

kk

+

=

=

+−

=

+ ∑∑∑ +

−+−= (3.114)

Deoarece x[n] este cauzal, se poate înlocui X+(z) = X(z) şi (3.114) devine

)()(

)()(

1

][)(

1)(

0

1

11

1

0

zAzN

zXzH

za

znyzazX

za

zbzY

kN

kk

k

n

nkN

kk

kN

kk

M

k

kk

+⋅=

=+

−−

+=

=

=

=

=

=

+

∑∑

(3.115)

unde

126

Page 127: Prelucrarea digitala a semnalelor

∑∑=

=−−=

k

n

nkN

kk znyzazN

110 ][)( (3.116)

Din (3.115) se observă că transformata Z a ieşirii sistemului cu condiţii iniţiale nenule poate fi împărţită în două părţi. Prima parte este transformata Z a răspunsului de stare zero al sistemului

)()()( zXzHzYzs ⋅= (3.117) iar a doua componentă este rezultatul condiţiilor iniţiale nenule şi reprezintă transformata Z a răspunsului când intrarea este nulă.

)()()( 0

zAzNzYzi =+ (3.118)

Transformata Z a răspunsului total este suma acestor două componente. Răspunsul sistemului în domeniul timp se obţine prin determinarea transformatelor Z inverse pentru Yzs(z) şi şi adunarea rezultatelor, adică

)(zYzi+

][][][ nynyny zizs += (3.119)

Deoarece numitorul lui Y este A(z), polii săi sunt p)(zzi+

1 p2 … pN şi

se poate descompune în fracţii simple, sub forma )(zYzi+

∑=

−+

−=

N

k k

kzi zp

DzY1

11)( (3.120)

unde Dk sunt coeficienţii descompunerii în fracţii simple. În consecinţă, răspunsul de intrare zero este

][)(][1

nupDny nk

N

kkzi ∑

== (3.121)

Acesta poate fi adăugat la (3.111) pentru a forma răspunsul total al sistemului şi se obţine

][)(][)(][11

' nuqQnupAny nk

L

kk

nk

N

kk ∑∑

==+= (3.122)

unde

kkk DAA +=' (3.123) Cele prezentate anterior arată clar că efectul condiţiilor iniţiale este de modificare a răspunsului natural al sistemului prin modificarea coeficienţilor Ak. Acestea nu introduc noi poli şi nu influenţează răspunsul forţat al sistemului. Analiza efectuată a luat în consideraţie numai cazul polilor simpli, indiferent dacă aceştia sunt reali şi/sau

127

Page 128: Prelucrarea digitala a semnalelor

complex conjugaţi. Aceleaşi concluzii rezultă şi în cazul polilor multipli, reali şi/sau complex conjugaţi.

Exemplul 3.13. Să se determine răspunsul la semnalul de intrare al

sistemului descris de ecuaţia cu diferenţe ][2][ nunx n=

][]2[)6/1(]1[)6/5(][ nxnynyny +−−−= în următoarele condiţii iniţiale: a) y[-1] = y[-2] = 0 b) y[-1] =1; y[-2] = 2 Soluţie. Funcţia de transfer a sistemului este

21 )6/1()6/5(11)( −− +−

=zz

zH

Sistemul are doi poli p1=1/2 şi p2=1/3. Transformata Z a intrării este

1211)( −−

=z

zX

( )( )( )121

5/81)3/1(1

5/21)2/1(1

1

1211)3/1(11)2/1(1

1)()()(

−−+−−

+−−

−=

=−−−−−−==

zzz

zzzzXzHzzsY

şi ][]2)5/8()3/1)(5/2()2/1([][ nuny nnnzs ++−=

a) deoarece condiţiile iniţiale sunt nule, în acest caz y[n] = yzs[n]. b) pentru condiţiile iniţiale y[-1] =1 şi y[-2] = 2, în transformata Z apare

componenta suplimentară

1121

10

)3/1(10

)2/1(12/1

1)6/1()6/5(1)6/1()2/1(

)()()( −−−−

−+

−=

+−−

==zzzz

zzAzNzYzi

În consecinţă, răspunsul de intrare zero este ][)2/1)(2/1(][ nuny n

zi = iar răspunsul total are transformata Z

111 215/8

)3/1(15/2

)2/1(1)2/1()()()( −−− −

+−

+−−

=+=zzz

zYzYzY zizs

Aplicând transformata Z inversă, rezultă ][]2)5/8()3/1)(5/2()2/1)(2/1[(][ nuny nnn ++−= .

128

Page 129: Prelucrarea digitala a semnalelor

3.6.3. Răspunsul tranzitoriu şi permanent al SDLIT După cum s-a arătat în paragraful (3.6.1), răspunsul unui sistem la un semnal de intrare dat poate fi separat în două componente: răspunsul natural şi răspunsul forţat. Răspunsul natural al unui sistem cauzal este dat de (3.112). Dacă

1<kp pentru toţi k, atunci ynr[n] descreşte la zero pentru n→∞. ~n acest

caz r\spunsul natural este un r\spuns tranzitoriu. Viteza cu care semnalul descre[te la zero depinde de pozi]ia polilor. Cu cât un pol este mai apropiat de origine, acesta determină o descre[tere mai rapid\, iar dac\ polul este plasat `n apropierea cercului unitate (dar, evident, `n interior), descre[terea este mai lent\ [i r\spunsul tranzitoriu va persista mai mult timp. R\spunsul for]at este dat de (3.113). Dac\ to]i polii semnalului de intrare sunt `n interiorul cercului unitate, va descre[te la zero

pentru , ca `n cazul r\spunsului natural. Dac\, `n schimb, semnalul de intrare este o sinusoid\ cu polii pe cercul unitate, r\spunsul for]at este, de asemenea, o sinusoid\ care persist\ pentru , caz `n care r\spunsul for]at se nume[te r\spuns permanent al sistemului. Aşadar, pentru ca sistemul s\ prezinte un r\spuns permanent pentru n , intrarea trebuie s\ persiste pentru to]i n .

][ny fr

0≥n

∞→n

0≥0≥

3.6.4. Cauzalitatea [i stabilitatea SDLIT exprimate în funcţie de funcţia de sistem

Un sistem discret, liniar, invariant `n timp, cauzal este cel al c\rui r\spuns la impuls satisface condi]ia ][nh

0,0][ <= nnh (3.124)

De asemenea, s-a ar\tat c\ RC pentru transformata Z a unui semnal cauzal este exteriorul unui cerc. ~n consecin]\, un SDLIT este cauzal, dac\ [i numai dac\ RC a func]iei sale de transfer este exteriorul unui cerc de raz\ , incluzând punctul . ∞<r ∞=z Stabilitatea unui SDLIT poate fi exprimat\ `n func]ie de caracteristicile func]iei de transfer. Se reaminteşte (paragraful 2.4.7) c\ o condi]ie necesar\ [i suficient\ pentru ca un SDLIT s\ fie stabil în sens MIME este

129

Page 130: Prelucrarea digitala a semnalelor

∑∞

−∞=∞<

nnh ][ (3.125)

Aceast\ condi]ie implic\ faptul ca cercul unitate s\ fie con]inut `n RC a lui H(z). ~ntr-adev\r, deoarece

∑∞

−∞=

−=n

nznhzH ][)( (3.126)

rezult\

( ) [ ] ( ) n

nn

n znhznhzH −∞

−∞=

−∞=

− ∑∑ =≤ (3.127)

Prin evaluarea pe cercul unitate ( , se obţine )1=z

( ) [ ]∑∞

−∞=≤

nnhzH (3.128)

În concluzie, dac\ un sistem este stabil în sens MIME, cercul unitate este inclus în RC a lui H(z). Se poate demonstra c\ reciproca este de asemenea adevarat\ [i, prin urmare, un SDLIT este stabil MIME dac\ [i numai dac\ RC a func]iei de transfer include cercul unitate.

Condi]iile pentru cauzalitate [i stabilitate sunt diferite [i unele nu le implic\ pe celelalte. De exemplu, un sistem cauzal poate fi stabil sau nu, a[a cum [i un sistem necauzal poate fi stabil sau nu. Similar, atât sistemele stabile cât [i cele instabile pot fi cauzale sau nu.

Pentru un sistem cauzal se pot stabili condi]ii de stabilitate având în vedere c\ RC a func]iei de transfer este exteriorul unui cerc de raza r. Pentru un sistem stabil, RC trebuie s\ con]in\ cercul unitate. ~n consecin]\, un sistem stabil [i cauzal trebuie s\ aib\ o func]ie de sistem care converge pentru z > <1. Deoarece RC nu poate con]ine nici un pol

al lui , rezult\ c\ un SDLIT cauzal este stabil în sens MIME, dac\ [i

numai dac\ to]i polii lui sunt în interiorul cercului unitate.

r

H( )zH

( )z

Exemplul 3.14. Un SDLIT este caracterizat de func]ia de transfer

( ) 1121

1

312

211

15,15,31

43−

−−−

−+

−=

+−−

=zzzz

zzH

S\ se specifice RC a lui [i s\ se determine în urm\toarele condi]ii:

( )zH [ ]nh

a) sistemul este stabil; 130

Page 131: Prelucrarea digitala a semnalelor

b) sistemul este cauzal; c) sistemul este pur necauzal.

Solu]ie. Sistemul are polii la 21

=z [i . 3=z

a) Deoarece sistemul este stabil, RC trebuie s\ includ\ cercul unitate

[i, deci, 321

<< z . În consecin]\, este necauzal [i [ ]nh

[ ] [ ] ( ) [ ]13221

−−−

= nununh n

n

.

b) Deoarece sistemul este cauzal, 3>z , caz în care

[ ] [ ] ( ) [ ]nununh nn

3221

+

= .

Acest sistem este instabil (con]ine pe ( ) ). [ ]nun3c) daca sistemul este pur necauzal, RC este 5,0<z , [i deci

[ ] ( ) [ ]13221

−−

+

−= nunh n

n

.

Acest sistem este instabil( con]ine pe ). ]1[)2/1( −−nun

3.6.5. Anul\ri poli zerouri

Dac\ o transformat\ Z con]ine un pol `n acela[i loc pe care este

plasat un zerou, polul este anulat de zero [i, în consecin]\, termenul care con]ine polul respectiv dispare din transformata Z. Anul\ri poli-zerouri pot ap\rea fie în functia de transfer a sistemului, fie în produsul dintre aceasta [i transformata Z a semnalului de intrare. În al doilea caz se spune c\ un pol al sistemului este anulat de un zero al semnalului de intrare sau invers. Aceasta înseamn\ c\, printr-o alegere potrivit\ a zerourilor semnalului de intrare, se pot anula unul sau mai mulţi poli ai r\spunsului sistemului, ceea ce ar putea fi folosit în practic\ pentru stabilizarea unui sistem.

Dac\ zeroul este plasat foarte aproape de pol, dar nu exact `n pozi]ia polului, acesta va avea o contribu]ie `n r\spuns. ~n practic\ anul\ri neexacte poli-zerouri apar ca rezultat al preciziei numerice finite folosite `n reprezentarea coeficien]ilor sistemului. ~n consecin]\, dac\ num\rul de bi]i folosi]i `n reprezentarea m\rimilor nu este suficient, nu se va `ncerca

131

Page 132: Prelucrarea digitala a semnalelor

stabilizarea unui sistem instabil prin plasarea unui zerou `n semnalul de intrare pe acea pozi]ie.

3.6.6. Poli multipli [i stabilitate

Din cele prezentate anterior, s-a observat c\ o condi]ie necesar\ [i

suficient\ pentru ca un SDLIT cauzal s\ fie stabil `n sens MIME este ca to]i polii s\i s\ fie con]inu]i `n interiorul cercului unitate.

~n continuare se va analiza stabilitatea sistemelor `n func]ie de pozi]ia polilor sistemului [i ai semnalului de intrare. Se disting urm\toarele cazuri:

1. Atât polii sistemului pk cât [i cei ai semnalului de intrare qj sunt con]inu]i `n interiorul cercului unitate, adic\ 1<kp , k=1...N,

1<jq , j=1...L.

Dac\ to]i polii pk [i qj sunt distinc]i [i , atunci atât

r\spunsul natural, cât [i cel for]at sunt limitate [i sistemul este stabil. Dac\ polii sistemului [i ai semnalului nu sunt neap\rat simpli sau semnalul de intrare con]ine unul sau mai mul]i poli care coincid cu ai sistemului, atunci ie[irea sistemului va con]ine poli multipli, care vor avea

ca rezultat secven]e de ie[ire care con]in termeni de forma

unde [i m este ordinul de multiplicitate a polului p

jk qp ≠

][nupnA nk

bk

10 −≤≤ mb k. Dac\

1bn

<kp , ace[ti termeni descresc spre 0 pentru , deoarece

domin\ pe . ~n consecin]\, nici un semnal de intrare limitat nu va produce o ie[ire nelimitat\, dac\ polii sistemului sunt `n interiorul cercului unitate.

∞→n nkp

2. Polii sistemului sunt strict `n interiorul cercului unitate, 1<kp ,

iar semnalul de intrare are poli atât `n interiorul cecului unitate,

cât [i pe cercul unitate, 1≤jq .

Dac\ semnalul con]ine un pol real simplu (z=1 sau z=-1) sau doi poli reali distinc]i (z=1 [i z=-1) sau o pereche de poli complex conjuga]i pe cercul unitate, restul fiind con]inu]i `n interiorul acestuia, atât r\spunsul natural, cât [i cel for]at sunt limitate, cei doi poli complec[i combinându-se `ntr-o component\ sinusoidal\ de semnal `n r\spunsul for]at al sistemului. Evident, dac\ semnalul are pe cercul unitate cel pu]in un pol real dublu sau o pereche dubl\ de poli complex conjuga]i, r\spunsul devine nelimitat [i sistemul este instabil.

132

Page 133: Prelucrarea digitala a semnalelor

3. Polii semnalului de intrare sunt strict `n interiorul cercului

unitate 1<jq , iar sistemul are poli atât `n interiorul cecului

unitate, cât [i pe cercul unitate 1≤kp .

Dac\ pe cercul unitate exist\ un singur pol real (z=1 sau z=-1) sau doi poli reali distinc]i (z=1 [i z=-1) sau o pereche de poli complex conjuga]i ai sistemului, r\spunsul natural este limitat [i sistemul este stabil. Dac\ sistemul are pe cercul unitate poli reali sau complex conjuga]i multipli, r\spunsul s\u devine nelimitat [i sistemul instabil.

4. Atât polii sistemului cât [i ai semnalului de intrare se găsesc fie `n interiorul cercului unitate, fie pe cercul unitate, adic\ 1≤kp

[i 1≤jq .

Dac\ polii sistemului [i ai semnalului de intrare de pe cercul unitate sunt simpli [i nu coincid, r\spunsul sistemului este limitat [i sistemul stabil. Dac\, `ns\, un pol al sistemului coincide cu un pol al semnalului de pe cercul unitate, `n r\spunsul sistemului va ap\rea o component\ de forma

, care este nelimitat\. Cu atât mai mult, dac\ polii sunt multipli, r\spunsul va fi nelimitat, con]inând termeni de forma

unde [i m este ordinul de multiplicitate a polului p

][nunpA nkk

[nupnA nk

bk ] 10 −≤≤ mb

k de pe cercul unitate. Singurele sisteme de interes care au poli pe cercul unitate sunt

oscilatoarele, despre care se spune c\ sunt marginal stabile. Urm\torul exemplu ilustreaz\ situ]ia din cazul 4. Exemplul 3.15. S\ se determine r\spunsul sistemului cauzal, descris de ecua]ia cu

diferen]e [ ] [ ] [ ]nxnyny +−= 1 , la treapta unitate.

Solu]ie. Func]ia de sistem a sistemului este ( ) 111

−−=

zzH , care con]ine

polul pe cercul unitate. Transformata Z a semnalului de intrare

este

1=z

[ ]nu[ ]nx = ( ) 111

−−=

zzX care, de asemenea, con]ine un pol la

. 1=zTransformata Z a semnalului de ie[ire este

133

Page 134: Prelucrarea digitala a semnalelor

( ) ( ) ( )( )211

1−−

=⋅=z

zXzHzY , care con]ine un pol dublu la . 1=z

Transformata Z invers\ a lui Y este ( )z

[ ]

( ) [ ]nunnnunuz

zzzZ

zzzZ

zZny

1][][)1()1(

1

)1(1

)1(1

21

1

21

11

21

111

211

+=+=

−+

−−

=

=

−+−

=

−=

−−

−−−

−−

care, evident, este o secven]\ nelimitat\ [i, `n consecin]\, sistemul este instabil. Acest exemplu ilustreaz\ faptul c\ stabilitatea MIME impune ca polii sistemului s\ se g\seasc\ strict `n interiorul cercului unitate.

3.6.7. Stabilitatea sistemelor de ordinul II Ecua]iile liniare cu diferen]e sau func]iile de sistem corespunz\toare

ale sistemelor discrete au de obicei coeficien]i reali, ceea ce determin\ ca polii sistemului s\ fie reali [i/sau complex conjuga]i. Pentru a evita lucrul cu valori complexe, contribu]ia polilor complex conjuga]i se combin\ `n expresii de ordinul al doilea cu coeficien]i reali, motiv pentru care sistemele de ordinul doi formeaz\ blocurile constructive de baz\ folosite `n realizarea sistemelor de ordin superior [i vor fi analizate `n detaliu.

Fie un sistem cauzal cu doi poli, descris de ecua]ia cu diferen]e de ordinul doi

][]2[]1[][ 021 nxbnyanyany +−−−−= (3.129) Func]ia de transfer este

( ) ( )( ) 21

2

20

22

11

0

1 azazzb

zazab

zXzYzH

++=

++== −− (3.130)

[i sistemul are dou\ zerouri `n origine [i doi poli 021 == zz

44

22

211

2,1aaap −

±−= (3.131)

Sistemul este stabil `n sens MIME, dac\ polii sunt `n interiorul cercului unitate, adic\ dac\ 12 <p [i 11 <p

2a. Aceste condi]ii impun

anumite rela]ii `ntre coeficien]ii [i , care vor fi determinate atât pentru cazul în care polii sunt complex conjuga]i, cât [i reali.

1a

134

Page 135: Prelucrarea digitala a semnalelor

Dac\ , polii sunt complex conjuga]i , [i

condi]ia de modul subunitar pentru ace[tia conduce la 2

21 4aa < θρ= jep 2,1

2212

212

21 4;1

24

aaaaaa

<<=−+

=ρ (3.132)

ceea ce este echivalent cu rela]ia

4,1||

21

22aaa >< (3.133)

~nlocuind (3.131) `n condi]ia 12,1 <p , `n cazul polilor reali, se

ob]ine

14

42

1 22

11 <−

±−<−aaa

(3.134)

condi]ie ce echivaleaz\ cu patru inegalit\]i ce trebuie `ndeplinite simultan. Prin rezolvarea acestora rezult\ a2>-a1-1 [i a2> a1-1, rela]ii ce pot fi reunite `n

21 1 aa +< (3.135)

Cu alte cuvinte, un sistem cu doi poli este stabil, dac\ [i numai dac\ coeficien]ii [i a satisfac condi]iile (3.133) [i (3.135). Aceste condi]ii

definesc o regiune `n planul coeficien]ilor ( , ) `n form\ de triunghi, dup\ cum este ar\tat `n figura 3.7.

1a 2

1a 2a

Figura 3.7. Regiunea de stabilitate `n planul coeficien]ilor (a1, a2) pentru un sistem de ordinul II

135

Page 136: Prelucrarea digitala a semnalelor

Sistemul este stabil dac\ [i numai dac\ punctul de coordonate ( a , a ) este `n interiorul triunghiului, numit triunghiul stabilit\]ii. Caracteristicile unui sistem cu doi poli depind de localizarea acestora sau de pozi]ia punctului ( , ) `n triunghiul stabilit\]ii. Polii sistemului pot

fi reali sau complec[i, dup\ valoarea discriminantului .

Parabola

1 2

1a 2a

22

1 4aa −=∆

4

21

2aa = `mparte triunghiul stabilit\]ii `n dou\ regiuni.

Regiunea de sub parabol\ corespunde polilor distinc]i reali. Punctele de pe parabol\ corespund polilor reali dubli [i regiunea de deasupra parabolei corespunde polilor complex conjuga]i.

a) poli reali [i distinc]i ( a ) 22

1 4a>Deoarece [i reali, func]ia de transfer a sistemului poate fi scris\ sub forma

p1 ≠ 2p

( ) 12

21

1

1

11 −− −+

−=

zpA

zpAzH (3.136)

unde

pppb

A21

101 −= ; −=2A

pppb

21

20

−, (3.137)

r\spunsul la impuls fiind

[ ] [ ]nupppp

bnh nn )( 12

11

21

0 ++ −−

= (3.138)

adic\ diferen]a a dou\ exponen]iale descresc\toare. b) poli reali [i egali ( ) 2

21 4aa =

~n acest caz = =p1 p2 21a− [i func]ia de transfer este

( )( )zp

bzH1

20

1 −−= (3.139)

c\reia `i corespunde r\spunsul la impuls ( ) [ ] [nupnbnh n10 += ] (3.140)

adic\ produsul dintre un semnal ramp\ [i o exponen]ial\ descresc\toare, care va avea o alur\ descresc\toare pentru un n suficient de mare.

c) poli complex conjuga]i ( ) aa 221 4<

Deoarece polii sunt complex conjuga]i, func]ia de transfer este

136

Page 137: Prelucrarea digitala a semnalelor

( ) 1

*

11*

*

1 00 1111 −ω−−ω−− −+

−=

−+

−=

zreA

zreA

zpA

pzAzH jj (3.141)

unde cu . erp jω= 0 π≤ω≤ 00

0

0*

0

sin2

0

ω⋅=

−=

ω

jeb

pppbA

j,

0

0*

*0*

sin2

0

ω⋅−=

−−=

ω−

jeb

pppbA

j (3.142)

R\spunsul la impuls al sistemului cu poli complex conjuga]i este

[ ] ( ) [ ]nunrbnhn

00

0 1sinsin

ω+ω

= (3.143)

Acesta are o comportare oscilatorie cu o anvelop\ exponen]ial\ descresc\toare pentru r . Unghiul determin\ frecven]a de oscila]ie iar distan]a fa]\ de origine a polului determin\ viteza de descre[tere a exponen]ialei. Evident, cu cât este mai aproape de cercul unitate, descre[terea este mai lent\, [i cu cât este mai apropiat de origine, descre[terea este mai rapid\.

1< 0ω

rr

3.7. Probleme propuse

3.1. S\ se determine transformata Z a urm\toarelor semnale [i s\ se indice regiunea de convergen]\.

↑ a) [3, 0, 0, 0, 0, 6, 1, -4] ; [ ] =nxa

b)

c) [ ]

<

=

4,0

5,21

n

nnx

n

b ;

d) ; [ ] ( ) [ ]nunnxc 1+=

e) [ ] ( ) [ ] Ranuaanx nnd ∈+= − , ;

f) [ ] ( ) [ ]nunannx n

e 0sinω= ;

g) [ ] ( ) [ ]nunannx nf 0cosω= ;

h) [ ] ( ) [ ]131

21 1

2 −

+=

nunnnxn

g ;

137

Page 138: Prelucrarea digitala a semnalelor

i) [ ]

<

=−

0,21

0,31

n

nnx

n

n

h ;

j) [ ]

<

≥−

=

0,0

0,231

n

nnxn

n

i ;

k) ; [ ] [ ]4+= nxnx hj

l) . [ ] [ ]nxnx hk −=

3.2. S\ se determine transformata Z a semnalelor:

a) [ ] 1, <αα= nnx ;

b) ; [ ] ∞<<∞−= nnx ,1

3.3. Folosind metoda descompunerii în serii de puteri, s\ se determine transformata Z invers\ pentru semnalul

( ) 21

1

2121

−−

+−+

=zz

zzX

dac\ a) este cauzal; ][nxb) este necauzal. ][nx

3.4. S\ se determine semnalul cauzal a c\rui transformat\ Z

este

][nx

( )( )( )211 121

1−− −−

=zz

zX .

3.5. Fie un semnal care admite transformat\ Z. S\ se

determine, în func]ie de X(z), transformata Z a urm\toarelor semnale: ][nx

a) [ ]

=restin

parnpentrunxnx,0

,21

138

Page 139: Prelucrarea digitala a semnalelor

b) . ]2[][2 nxnx = 3.6. S\ se determine semnalul cauzal , dac\ transformata sa Z

este: ][nx

a) ( ) 21

1

23131

−−

+++

=zz

zzX

b) ( ) 1

76

1 −

−−

−+

=zzzzX

c) ( ) 2

2

121

++

=zzzX

d) ( ) ( )( )121

21

5.0122161

41

−−−

−−

−+−++

⋅=zzz

zzzX

e) X(z) este specificat\ de diagrama poli-zerouri

Figura p3.6

3.7. S\ se determine toate semnalele posibile care pot avea

transformata Z ][nx

( ) ( )( )11

1

3215

−−

−−=

zzzzX

3.8. S\ se determine convolu]ia urm\toarelor perechi de semnale cu

ajutorul transformatei Z:

a) ]1[41][1 −

= nunx

n

; ][211][2 nunx

n

+=

139

Page 140: Prelucrarea digitala a semnalelor

b) ; ][][1 nunx = ][21][][2 nunnx

n

+δ=

c) ; ][][1 nnunx = ]1[2][2 −= nunx n

3.9. Folosind propriet\]ile transformatei Z s\ se determine

originalul pentru urm\toarele transformate:

a) X(z) = log ( )z21−21

<z

b) X(z) = log

− −1

211 z

21

>z

3.10. S\ se determine semnalul a c\rui transformat\ Z este ][nx

( ) zz eezX1

+= 0≠z

3.11. S\ se determine semnalul cu transformata ][nx

( )21

3101

3−− +−

=zz

zX , dac\ X(z) converge pe cercul unitate.

3.12. S\ se calculeze convolu]ia urm\toarelor perechi de semnale

în domeniul timp [i cu ajutorul transformatei Z unilaterale: a) 1,1,1,1,1][1 =nx 1,1,1][2 =nx

b) ][21][1 nunx

n

= ][

21][2 nunx

n

=

↑ ↑

c) 1,1,1,1,1][1 =nx 1,1,1][2 =nx↑ ↑

S–au ob]inut acelea[i rezultate prin ambele metode? Explica]i.

3.13. S\ se determine r\spunsul , al sistemelor

descrise de urm\toarele ecua]ii cu diferen]e, cu ajutorul transformatei Z unilaterale:

][ny 0≥n

140

Page 141: Prelucrarea digitala a semnalelor

a) 0]2[41]1[

21][ =−−−+ nynyny ; . 1]2[]1[ =−=− yy

b) . 0]2[]1[;0]2[5,0]1[5,1][ =−=−=−+−− yynynyny

c) [ ] ][]1[21 nxnyny +−=

[ ] 1]1[];[31

=−

= ynunx

n

;

d) ][]2[41][ nxnyny +−=

1]2[,0]1[];[][ =−=−= yynunx .

3.14. S\ se calculeze r\spunsul de stare zero al urm\toarelor sisteme:

a) ][31][ nunh

n

= ; [ ] ][

3cos

21 nunx

n

π

=

b) ][21][ nun

n

=h ; [ ] ]1[

21

31

−−

+

=

nunxnn

c) ; ]1[][]2[2,0]1[1.0][ −++−+−−= nxnxnynyny [ ] ][31 nunx

n

=

d) ; ][10]2[][ nxnyny +−−= ][2

cos10][ nunnx

π

=

e) [ ] ][21 nunh

n

= ; [ ] ( )nnx 1−= ∞<<∞− n

3.15. Se consider\ sistemul

( ) ( )( )( )111

321

2.015.011221

−−−

−−−

−−−−+−

=zzz

zzzzH ; RC: 15.0 << z

a) S\ se reprezinte diagrama poli-zerouri a sistemului. Este acesta stabil? b) S\ se determine r\spunsul la impuls.

3.16. S\ se determine r\spunsul sistemului ]2[]1[]2[12.0]1[7.0][ −+−+−−−= nxnxnynyny

la intrarea . Este sistemul stabil? ][][ nnunx =

141

Page 142: Prelucrarea digitala a semnalelor

3.17. S\ se determine r\spunsul la impuls, , al sistemului din figur\.

[ ]nh

][31][1 nunh

n

= ; ][

21][2 nunh

n

= ; ][

51][3 nunh

n

=

Figura p3.17 3.18. Se consider\ interconectarea sistemului din figur\, unde

, − . ][][ nuanh n= 11 << a

Figura p3.18 a) S\ se determine r\spunsul la impuls al sistemului [i s\ se stabileasc\ dac\ este cauzal [i stabil. b) S\ se implementeze sistemul cu un num\r minim de sumatoare, multiplicatoare [i elemente de întârziere.

3.19. Se consider\ sistemul ( )21

21

252

531

21

−−

−−

+−

+=

zz

zzzH

S\ se determine: a) r\spunsul la impuls; b) r\spunsul de stare zero la intrarea ; [ ] [ ]nunx =c) r\spunsul total la intrarea dac\ ; . [ ] [ ]nunx = 1]1[ =−y 2]2[ =−y

142

Page 143: Prelucrarea digitala a semnalelor

3.20. Fie sistemul cauzal descris de ecua]ia cu diferen]e ]1[][]1[][ 101 −++−−= nxbnxbnyany

S\ se determine: a) r\spunsul la impuls; b) r\spunsul de stare zero la treapta unitate; c) r\spunsul la treapta unitate dac\ ; 0]1[ ≠=− Ayd) r\spunsul la intrarea , . nnx 0cos][ ω= ∞<≤ n0

3.21. S\ se determine r\spunsul de stare zero al sistemului

]1[3][4]1[21][ −++−= nxnxnyny

la intrarea . ][][ 0 nuenx njω=Care este r\spunsul de regim permanent al sistemului?

143

Page 144: Prelucrarea digitala a semnalelor

CAPITOLUL 4

ANALIZA SEMNALELOR DISCRETE ~N DOMENIUL FRECVEN|

Un alt instrument matematic foarte util `n analiza [i proiectarea SLIT `l constituie transformata [i seria Fourier. Aceste reprezent\ri ale semnalelor implic\ descompunerea semnalului `n sinusoide sau exponen]iale complexe. Astfel, semnalul este reprezentat `n domeniul frecven]\.

Pentru clasa semnalelor periodice, descompunerea se nume[te serie Fourier, iar pentru clasa semnalelor aperiodice de energie finit\, descompunerea se nume[te transformat\ Fourier.

Aceste descompuneri sunt importante, deoarece permit ob]inerea cu u[urin]\ a r\spunsului sistemelor SLIT la astfel de semnale, pe baza propriet\]ii de liniaritate a seriei [i transformatei Fourier.

Din domeniul fizicii au fost preluate no]iunile de spectru, analiz\ spectral\ [i sintez\ de spectru, prin analogie cu urm\toarea situa]ie: lumina alb\ este descompus\ cu ajutorul unei prisme în culorile curcubeului, fiecare din acestea corespunz`nd unei anumite frecven]e din spectrul vizual.

Analiza `n frecven]\ a unui semnal implic\ descompunerea sa `n componente sinusoidale. Rolul prismei este preluat de seria [i transformata Fourier. Ca [i `n fizic\, termenul de spectru se refer\ la con]inutul de frecven]e al semnalului. Procesul de ob]inere a spectrului se nume[te analiz\ spectral\. ~n practic\ determinarea spectrului unui semnal, bazat\ pe m\sur\tori asupra semnalului, se nume[te estimare spectral\. Transformata Fourier a unui semnal se nume[te func]ie de densitate spectral\ sau, mai simplu, spectrul semnalului.

Recombinarea sinusoidelor componente `n scopul refacerii semnalului original este o problem\ de sintez\ Fourier. ~n cele ce urmeaz\ analiza se va referi atât la semnale analogice periodice [i aperiodice, cât [i la semnale discrete, de asemenea, periodice [i aperiodice.

144

Page 145: Prelucrarea digitala a semnalelor

4.1. Analiza `n frecven]\ a semnalelor analogice Pentru semnalele analogice periodice [i aperiodice se vor trece

succint `n revist\ `n urm\toarele dou\ paragrafe câteva aspecte referitoare la ecua]ia de analiz\, ecua]ia de sintez\, spectrul de amplitudine, spectrul de faz\, spectrul de putere [i, respectiv, spectrul de energie al semnalelor, tratarea detaliat\ a acestor subiecte fiind prezentat\ `n [13], [16], [20].

4.1.1. Analiza `n frecven]\ a semnalelor analogice periodice Reprezentarea matematic\ a semnalelor periodice este dat\ de seria

Fourier care este o sum\ ponderat\ de sinusoide armonice sau exponen]iale complexe având aceea[i perioad\ fundamental\ Tp=1/F0

( ) ∑∞

−∞==

k

tkFjk ectx 0π2 (4.1)

Semnalul exponen]ial este "blocul constructiv" de baz\ cu ajutorul c\ruia se construiesc semnale periodice diferite, prin alegerea potrivit\ a frecven]ei fundamentale [i a coeficien]ilor

. reprezint\ frecven]a fundamental\ a semnalului , iar

coeficien]ii determin\ forma semnalului. Pentru un semnal periodic

, de perioad\ T , coeficien]ii se determin\ cu rela]ia [23]

...2;1;0,0π2 ±±=⋅ ke tFkj

kc

0F kc

)(tx

0F )(tx kc

p

( ) dtetxTc tkFj

Tpk

p

021 π⋅−∫= (4.2)

Coeficien]ii formeaz\ spectrul semnalului periodic. Modulul coeficien]ilor formeaz\ spectrul de amplitudine, iar argumentul lor, spectrul de faz\. Se observ\ c\ integrala poate fi evaluat\ pe orice interval de lungime T al semnalului . ~n reprezentarea semnalelor periodice prin serii Fourier apare problema convergen]ei seriei date de (4.1) la pentru orice valoare a lui t .

kc

p )(tx

)(txExist\ unele condi]ii care garanteaz\ convergen]a [23], dintre care

un set foarte utilizat `n prelucrarea semnalelor este cunoscut sub numele de condi]iile Dirichlet, care asigur\ faptul c\ este egal cu dezvoltarea sa `n seria dat\ de (4.1) `n orice punct de continuitate, dac\:

)(tx

1. Semnalul are un num\r finit de discontinuit\]i pe orice interval finit;

( )tx

145

Page 146: Prelucrarea digitala a semnalelor

2. Semnalul con]ine un num\r finit de maxime [i minime `n orice perioad\;

3. Semnalul este absolut integrabil pe orice perioad\, adic\

∫ ∞<pT

dttx )( (4.3)

O alt\ condi]ie de convergen]\, dar mai slab\ decât condi]ia 3 din setul anterior este aceea ca semnalul s\ aib\ energia finit\ pe o perioad\, adic\ s\ fie de de p\trat integrabil pe o perioad\, adic\

( )∫ ∞<pT

dttx 2|| (4.4)

Aceasta garanteaz\ faptul c\ energia semnalului diferen]\

( ) ∑∞

−∞=

⋅−=k

tkFjk ectxtd 0π2)( (4.5)

este zero, de[i [i seria sa Fourier pot diferi pentru toate valorile lui t. Un semnal absolut integrabil este de energie finit\, dar reciproca nu este adev\rat\. Ambele condi]ii prezentate mai sus sunt suficiente, dar nu [i necesare, adic\ exist\ semnale care nu respect\ condi]iile Dirichlet [i nu sunt nici de p\trat integrabil, dar seria Fourier este convergent\.

( )tx

Toate semnalele periodice de interes practic satisfac aceste condi]ii. ~n concluzie, dac\ este periodic [i satisface condi]iile Dirichlet, el poate fi reprezentat `ntr-o serie Fourier (4.1), cu coeficien]ii specifica]i de (4.2). Ecua]ia (4.1) se nume[te ecua]ie de sintez\, iar ecua]ia (4.2), ecua]ie de analiz\.

( )tx

~n general, coeficien]ii Fourier sunt complec[i, iar dac\ semnalul este real, coeficien]ii simetrici sunt complexi conjuga]i c . ( )tx *

kk c=−

ecc kkkjθ= ; ecc kj

kkkθ−

− ==*c (4.6)

Spectrul de amplitudine este par

cc kk =− , (4.7)

iar cel de faz\ este impar cc kk −∠=∠ − (4.8)

Un semnal periodic are energie infinit\ [i putere medie finit\, dat\ de rela]ia

( ) dtT

txT

Pp

px ∫=

21 (4.9)

~ntre coeficien]ii Fourier [i puterea semnalului periodic exist\ rela]ia

146

Page 147: Prelucrarea digitala a semnalelor

∑∞

−∞==

kkx cP

2 (4.10)

Rela]iile (4.9) [i (4.10) ilustreaz\ echivalen]a puterilor, pentru semnale de putere finit\.

M\rimea 2

kc reprezint\ puterea medie a armonicii a semnalului, iar

puterea medie total\ a semnalului periodic este suma puterilor medii ale

armonicilor. Reprezentarea lui

k

2kc func]ie de frecven]ele ,

formeaz\ spectrul densit\]ii de putere a semnalului periodic .

0kF...2,1,0 ±±=k)(tx

Deoarece 2

kc exist\ numai pentru valori discrete ale frecven]ei

, se spune c\ spectrul semnalului periodic este format din linii spectrale. Distan]a dintre dou\ linii spectrale consecutive este inversa

perioadei fundamentale

...)2;;0( 00 FF ±±

pTF 1

0 = , iar forma spectrului (distribu]ia de

putere a semnalului) depinde de caracteristicile `n domeniul timp ale semnalului.

4.1.2. Analiza `n frecven]\ a semnalelor analogice aperiodice Semnalele analogice aperiodice se reprezint\ `n domeniul frecven]\

cu ajutorul transformatei Fourier care, pentru semnalul , se define[te cu rela]ia

)(tx

( )∫∞

∞−

⋅−= dtetxFX Ftj π2)( (4.11)

)(FX , transformata Fourier direct\ a semnalului , mai este cunoscut\ sub numele de func]ie de densitate spectral\ [i este o func]ie de variabila continu\ F. Transformata Fourier invers\ este dat\ de rela]ia

)(tx

dFeFXtx Ftj∫∞

∞−π= 2)()(

(4.12) [i permite determinarea semnalului din . Rela]ia (4.11) se nume[te ecua]ie de analiz\, iar (4.12) ecua]ie de sintez\.

)(tx )(FX

Perechile Fourier (4.11) [i (4.12) se pot exprima [i `n func]ie de

pulsa]ia , Fπ=Ω 2πΩ

=2ddF , `n forma

147

Page 148: Prelucrarea digitala a semnalelor

dtetxX tjΩ−∞

∞−∫=Ω )()( (4.13)

[i

ΩΩπ

= Ω∞

∞−∫ deXtx tj)(

21)( (4.14)

Un set de condi]ii suficiente pentru existen]a transformatei Fourier a semnalelor aperiodice este dat de condi]iile Dirichlet [23]:

1. Semnalul x are un num\r finit de discontinuit\]i; ( )t2. Semnalul x con]ine un num\r finit de maxime [i minime; ( )t3. Semnalul x este absolut integrabil, adic\ ( )t

∫∞

∞−

∞<dttx )( (4.15)

O alt\ condi]ie de convergen]\, dar mai slab\ decât (4.15), este aceea ca semnalul s\ fie de energie finit\, adic\ s\ fie de de p\trat integrabil [18]

∫∞

∞−

∞<dttx 2)( (4.16)

Un semnal absolut integrabil este de energie finit\, `ns\ reciproca nu este `ntotdeauna adev\rat\. ~n general, transformata Fourier este o m\rime complex\, care se exprim\ `n coordonate polare sub forma

)(FX

)()()( FjeFXFX θ= (4.17)

unde )(FX este modulul spectrului, iar θ faza sa. )(F Dac\ semnalul x este real, atunci transformata Fourier prezint\ proprit\]i de simetrie, [i anume, spectrul de amplitudine este o func]ie par\

)(t

)()( FXFX =− (4.18)

iar cel de faz\ este o func]ie impar\ )()( FXFX −∠=−∠ (4.19)

Energia matematic\ a unui semnal este dat\ de rela]ia )(tx

∫∞

∞−= dttxEx

2)( (4.20) Leg\tura dintre energia semnalului [i transformata sa Fourier , este dat\ de echivalen]a energiilor

)(FX

148

Page 149: Prelucrarea digitala a semnalelor

∫∞

∞−

= dFFXEx2)( (4.21)

care exprim\ principiul conserv\rii energiei `ntre domeniile timp [i frecven]\. M\rimea

2)()( FXFSxx = , 4.22)

care este integrandul rela]iei (4.21), reprezint\ distribu]ia de energie a semnalului, func]ie de frecven]\ [i se nume[te spectrul densit\]ii de energie sau densitate spectral\ de energie a lui . Din (4.22) se observ\ c\

)(tx

)()( FSFS xxxx =− (4.23) adic\ spectrul densit\]ii de energie a unui semnal real are simetrie par\. Din (4.22) se observ\ c\ nu con]ine informa]ii despre faz\ [i, deci, din cunoa[terea spectrului de energie nu se va putea reface `n mod unic semnalul .

)(FSxx

)(tx 4.2. Analiza `n frecven]\ a semnalelor discrete A[a cum s-a ar\tat `n paragraful 1.2, spre deosebire de semnalele

analogice, al c\ror domeniu de frecven]e se `ntinde de la la ∞ , domeniul de frecven]e pentru semnalele discrete este restrâns `n intervalul

sau [ .

∞−

],( ππ− )2,0 π 4.2.1. Serii Fourier pentru semnale discrete periodice Pentru un semnal discret periodic ( ) exist\

numai N valori `ntr-o perioad\, dup\ care acestea se repet\ . Se mai poate scrie , unde

este reprezentarea lui n `n clase de resturi modulo N. Aceast\ observa]ie atest\ faptul c\ spa]iul semnalelor discrete periodice, de perioad\ N, are dimensiunea N. Func]iile elementare

ZnNnxnx ∈∀+= ],[][

])[(][ Nnxnx =],...1[]1[],0[][ xNxxNx =+=

Nn)(

10,,2

−≤≤∈π

NkNken

Njk

formeaz\ o baz\ ortogonal\ complet\ `n spa]iul func]iilor periodice de perioad\ N. Un semnal discret de perioad\ fundamental\ N, poate con]ine componente de frecven]\ separate prin

149

Page 150: Prelucrarea digitala a semnalelor

Nπ2 radiani sau Nf 1=

nx

∑−

=

N

n

[i reprezentarea `n serie Fourier a unui semnal

discret periodic, va con]ine cel mult N componente de frecven]\. Aceasta reprezint\ diferen]a esen]ial\ `ntre reprezent\rile `n serie Fourier ale semnalelor continue [i discrete.

Se presupune un semnal discret , de perioad\ N. Seria Fourier pentru acest semnal discret se define[te cu rela]ia

[ ]nx

[ ] ec NknjN

kk

/21

0

π−

=∑= , n (4.24) 1,...,1,0 −= N

unde sunt coeficien]ii dezvolt\rii `n serie. kcPentru a determina expresia coeficien]ilor Fourier, se folose[te

rela]ia

π

=1

0

/2

0Nknj N

e (4.25) restin

NNk ...2,,0 ±±=

Multiplicând ambii membri ai rela]iei (4.24) cu Nmnj π− 2

e [i sumând produsul de la n la , rezult\ 0= 1−= Nn

[ ] )(,1

0

)(21

0

1

0

/21

0kmNccecenx m

N

nm

NnmkjN

n

N

kk

NmnjN

n==== ∑∑∑∑

=

−π−

=

=

π−−

= (4.26)

de unde

enxN

c NknjN

nk

/21

0][1 π−

=∑= , k (4.27) 1...1,0 −= N

Rela]ia (4.24) se mai nume[te ecua]ie de sintez\, iar (4.27) ecua]ie de analiz\. Coeficien]ii reprezint\ spectrul semnalului discret [i

descriu semnalul `n domeniul frecven]\, reprezent`nd amplitudinea [i faza asociate componentei

kc][nx

[ ] eens njNknjk

kωπ == /2 (4.28)

unde . Coeficien]ii sunt m\rimi complexe, modulul coeficien]ilor determinând spectrul de modul, iar faza acestora, spectrul de faz\.

Nkk /2π=ω kc

Semnalul este periodic, de perioad\ , adic\ . [ ]nsk N [ ] [ ]Nnsns kk +=Natura discret\ a semnalului determin\ ca [i coeficien]ii

s\ fie periodici, de aceea[i perioad\ . ~ntr-adev\r,

[ ]nsk

N kc

[ ] [ ] cenxNenx

Nc kNnj

N

n

NnNkjN

nNk === −

=

+π−−

=+ ∑∑ /πk2

1

0

/)(21

0

11 (4.29)

150

Page 151: Prelucrarea digitala a semnalelor

Spectrul unui semnal periodic, de perioad\ N, este o secven]\ periodic\, de aceea[i perioad\ . ~n consecin]\, cunoa[terea a e[antioane consecutive din semnal sau din spectrul s\u determin\ o descriere complet\ a semnalului `n domeniile timp, respectiv frecven]\. Aceasta `nseamn\ c\ domeniul corespunz\tor lui (adic\ o

perioad\) acoper\ domeniul fundamental de frecven]\

][nxN N

1...,1,0 −= Nk

π2πk<

N2

=ω≤ k0

sau, pentru 22NkN

≤<− domeniul corespunz\tor de frecven]\ este

ππk2≤=ω<π−

Nk .

Dac\ semnalul este real, , [i din rela]ia (4.27) se ob]ine ][nx ][][* nxnx =

k

N

n

NknjN

n

Nknjk cenx

Nenx

Nc −

=

π−

=

π− ==

= ∑∑

1

0

/2*1

0

/2* ][1][1 (4.30)

sau, echivalent

imparăsimetrieccparăsimetriecc

kk

kk

∠=∠−

=

− (4.31)

Aceste propriet\]i de simetrie ale spectrului semnalului periodic,

`mpreun\ cu proprietatea de periodicitate a coeficien]ilor determin\ kckNk cc −= (4.32)

[i ∠ (4.33) kNk cc −−∠=adic\

Ncc =0 ∠ 00 =−∠= Ncc

11 −= Ncc 11 −−∠=∠ Ncc

22NN cc = 0

2=Nc∠ pentru N par (4.34)

2)1(

2)1( +− = NN cc

2)1(

2)1( +− −∠= NN cc∠ pentru N impar

Pentru un semnal real, spectrul dat de coeficien]ii c , ,

pentru par sau k pentru impar, descrie complet semnalul `n domeniul frecven]\.

k 2/,..1,0 Nk =N 2/)1,..(1,0 −= N N

Folosind propriet\]ile de simetrie pentru coeficien]ii , seria Fourier (4.24) devine

kc

151

Page 152: Prelucrarea digitala a semnalelor

152

∑=

θ+

π+=

L

kkk kn

Nccnx

10

2cos2][ sau

∑=

π

−π

+=L

kkk kn

Nbkn

Naanx

10

2sin2cos][ (4.35)

unde kk c∠=θ , 00 ca = , kkk ca θ= cos2 , kkk cb θ= sin2 , 2/NL =

pentru N par [i 2/)1( −= NL , pentru N impar. Spectrul este discret [i periodic, de aceea[i perioad\ fundamental\ cu a semnalului.

Exemplul 4.1. S\ se calculeze spectrul urm\toarelor secven]e:

a) nnx π= 5cos][1 ;

b) 3/sin2][2 nnx π= ;

c) 0,0,1,1][3 =nx . Solu]ie

a) Pentru nfnnx 01 2cos5cos][ π=π= rezult\ Qf ∉= 2/50 , ceea ce `nseamn\ c\ secven]a nu este periodic\ [i spectrul s\u nu se calculeaz\ cu ajutorul seriei Fourier.

b) )(2

)(23/sin2][ 6/526/26/26/2

2njnj

njnj

eejjeennx ππ

π−π

−−=−

=π= . Prin

identificare cu seria Fourier rezult\ N=6, c1=-j, c5=j, c2=c3=c4=c6=0. Se observ\ c\ ,1|||| 51 == cc 2/,2/ 51 π=∠π−=∠ cc . c) Perioada secven]ei este N=4. Aplicând rela]ia (4.27), se ob]in coeficien]ii seriei pentru k=0, 1, 2, 3.

( )4/23

0

4/2 ]1[]0[41][

41 kj

n

knjk exxenxc π−

=

π− +== ∑ , de unde rezult\ urm\toarele

valori pentru k=0, 1, 2, 3: c0=1/2; c1=(1-j)/4; c2=0; c3=(1+j)/4. 4.2.2. Spectrul densit\]ii de putere pentru semnale discrete periodice Puterea medie a unui semnal discret periodic, cu perioada N , se

calculeaz\ cu rela]ia

∑−

=

=1

0

2][

1 N

nx nxN

P (4.36)

Page 153: Prelucrarea digitala a semnalelor

~nlocuind (4.24) `n (4.36) [i ]inând cont de (4.27), aceasta se exprim\ `n func]ie de coeficien]ii Fourier, dup\ cum urmeaz\:

∑∑ ∑

∑ ∑∑−

=

=

−−

=

=

=

−−

=

=

=

==

1

0

21

0

/πkn21

0

1

0

1

0

/πkn21

0

*

][1*

*][1][][1

N

kk

N

k

NjN

nk

N

n

N

k

Njk

N

nx

cenxN

c

ecnxN

nxnxN

P (4.37)

Rela]ia (4.37) se nume[te echivalen]a puterilor pentru semnale discrete

periodice. M\rimea 2

kc se nume[te spectrul densit\]ii de putere a

semnalului discret periodic. Ca [i `n cazul semnalelor analogice, spectrul densit\]ii de putere nu con]ine informa]ii despre faza semnalului.

Energia unui semnal discret periodic calculat\ pe o perioad\ este

∑ ∑−

=

=

==1

0

1

0

22][N

n

N

kkN cNnxE (4.38)

4.2.3. Propriet\]ile seriei Fourier pentru semnale

periodice discrete 1. Liniaritatea Dac\ transform\rile (4.24) [i (4.27) definesc o pereche

Fourier , atunci ][ kcnx →←

[ ] ∑∑ →←j

jkjj

jj canxa (4.39)

2. Deplasarea (translarea) `n timp k

Nknj cennx /20

0][ π−→←− (4.40) Spectrul de modul al semnalului nu este afectat de deplasare, ci numai spectrul de faz\. ~ntr-adev\r, aplicând (4.27) [i notând n-n0=m, se ob]ine

NkmjnN

nm

Nknj

NnmkjnN

nm

NknjN

nk

emxN

e

emxN

ennxN

c

π−−−

−=

π−

+π−−−

−=

π−−

=

∑∑ ==−=

212

)(2121

00

0

0

0

00

0

][1

][1][1

(4.41)

Cum nu conteaz\ originea domeniului de `nsumare, ci doar `nglobarea a N valori succesive, rela]ia (4.40) este demonstrat\. 3. Conjugarea complex\ Fie . Cnx ∈][

∗−

∗−

∗ ==→←Nkkk ccbnx )(][ (4.42)

Ceficien]ii bk ai semnalului complex conjugat sunt ][nx∗

153

Page 154: Prelucrarea digitala a semnalelor

( )∗−

∗−

∗−π−−

=

π−−

=

∗ ==

== ∑∑ Nkk

NnkjN

n

NknjN

nk ccenx

Nenx

Nb

)(21

0

21

0][1][1

(4.43)

4. Reflectarea semnalului ][ )( Nkk ccnx −− =→←− (4.44)

5. Modificarea sc\rii timpului

→← km c

mnx 1][)( (4.45)

unde (4.46)

=restîn;0

ndividemdacă];/[][)(

mnxnx m

Perioada N1 a semnalului se determin\ dup\ cum urmeaz\: ][)( nx m

( ) ++

=+restîn;0

)(dividemdacă];/[][ 11

1)(

NnmNnxNnx m (4.47)

Defini]ia periodicit\]ii implic\ egalitatea rela]iilor (4.46) [i (4.47). Dac\ n se divide la m [i (n+N1) se divide la m, atunci [i N1 se divide la m. Alegând N1=Lm, , rezult\ *NL∈

( )

= +

=+restîn;0

ndividemdacă];/[restîn;0

ndividemdacă];/[][ 1)(

mnxLmnxNnx m

Deoarece este de perioad\ N, se ob]ine L=N [i, deci, perioada

semnalului este N

][nx)(x m ][n 1=Lm=Nm. Coeficien]ii seriei se calculeaz\

astfel:

k

pN

jkN

p

mpmN

jkN

pm

nmN

jkmN

nmk

cm

epxmN

epmxmN

enxmN

c

1][1

][1][1

21

0

21

0)(

21

0)(1

==

===

π−−

=

π−−

=

π−−

=

∑∑

6. Modularea semnalului.

][0

02

kk

nN

jkcnxe −

π

→← (4.48) Modularea realizeaz\ translarea cu k0 a spectrului de modul [i faz\. 7. Produsul a dou\ semnale (teorema produsului). Dac\ [i sunt dou\ secven]e periodice de perioad\ N, ai c\ror coeficien]i Fourier sunt

[ ]nx1 [ ]nx2

154

Page 155: Prelucrarea digitala a semnalelor

[ ] ,1 1

0

2

11 ∑−

=

π−

=N

n

Nnkj

k enxN

c

respectiv [ ]∑−

=

π−

=1

0

2

221 N

n

Nnkj

k enxN

c , 1,0 −= Nk (4.49)

atunci

[ ] ( )( ) mm

N

mmkm ccccnxnxnx

N 21

1

021213 ][][ ⊗=→←= ∑

=− (4.50)

unde desemneaz\ convolu]ia periodic\ sau circular\ a secven]elor c⊗ 1m [i c2m. Produsul semnalelor este periodic de perioad\ N. Coeficien]ii ck ai produsului sunt

[ ]

Nmk

N

mmmk

N

mm

N

n

NnmkjN

mm

N

n

NnkjN

m

Nmnj

m

N

n

Nnkj

k

ccccenxN

c

enxecN

enxnxN

c

)(2

1

01)(2

1

01

1

0

)(2

2

1

01

1

0

2

2

1

0

2

1

1

0

2

21

][1

][1][1

=−

=

=

−−−

=

=

−−

=

=

∑∑∑∑

∑ ∑∑

===

=

==

π

πππ

(4.51) Ultima sum\ reprezint\ convolu]ia periodic\ sau circular\ a secven]elor discrete formate din coeficien]ii c1k [i c2k. 8. Convolu]ia periodic\ sau circular\ (teorema convolu]iei). Dac\

[i sunt dou\ secven]e periodice de perioad\ N, ai c\ror coeficien]i Fourier sunt da]i de (4.49), atunci [ ]nx1 [ ]nx2

][][ 2121 kk cNcnxnx →←⊗ (4.52) unde, prin defini]ie, convolu]ia circular\ a dou\ semnale periodice

[i de aceea[i perioad\ N este

[ ]nx1

[ ]nx2

∑−

=

−=⊗1

02121 ])[(][][][

N

kNknxkxnxnx (4.53)

Pentru a determina coeficien]ii seriei Fourier ai convolu]iei

se determin\ semnalul care are drept coeficien]i ai seriei Fourier produsele Nc

][][ 21 nxnx ⊗][3 nx

1kc2k.

[ ] [ ]

[ ] [ ]∑∑∑

∑ ∑∑−

=

=

−π−

=

=

π−

=

π−−

=

π

−=

=

=

==

1

021

1

0

)(22

1

01

1

0

22

1

0

/21

1

0

/2213

][N

m

N

k

Nmnkjk

N

m

N

k

Nnkjk

N

m

NkmjN

k

Nknjkk

mnxmxecmx

ecemxecNcnx (4.54)

155

Page 156: Prelucrarea digitala a semnalelor

9. Diferen]a de ordinul `ntâi a semnalului discret

−→←−−

π−

kN

jkcenxnx

2

1]1[][ (4.55)

10. ~nsumarea `n domeniul timp ~nsumând un semnal discret periodic de perioad\ N, f\r\ component\ continu\, adic\ având c

][nx0=0, se

ob]ine un semnal de aceea[i perioad\, pentru care ][ny

.0,1

][][ 02 =

−→←= π

−−∞=∑ c

e

cnymxk

Nj

kn

m (4.56)

∑−∞=

=−−=n

mnxnynymxny ][]1[][];[][ (4.57)

Coeficien]ii seriei Fourier ai semnalului sunt b][ny k. Aplicând proprietatea 9 (rela]ia 4.55) rela]iei (4.57), se ob]ine

kkN

jkcbenxnyny =

−→←=−−

π−

2

1][]1[][ (4.58)

de unde rezult\ coeficien]ii bk ai semnalului , ca `n rela]ia (4.56). ][ny 11. Propriet\]i specifice semnalelor reale. Dac\ , atunci

[i, conform rela]iei (4.24), rezult\ c\

Rnx ∈][][][ * nxnx =

*)(

*Nkkk ccc −− == (4.59)

ceea ce echivaleaz\ cu

ImImIm;ReReRe

;

)()(

)(*

)(

NN

NN

kkkkkk

kkkkkk

cccccc

cccccc

−−−−

−−−−

−=−===

−∠=−∠=∠==

(4.59')

4.2.4. Analiza `n frecven]\ a semnalelor discrete aperiodice

~n analiza semnalelor discrete, aperiodice, de energie finit\ se folose[te transformata Fourier. Prin defini]ie, transformata Fourier a unui semnal discret , de energie finit\, este dat\ de rela]ia ][nx

∑∞

−∞=

ω−=ωn

njenxX ][)( (4.60)

156

Page 157: Prelucrarea digitala a semnalelor

unde reprezint\ spectrul semnalului . )(ωX ][nxExist\ dou\ deosebiri de baz\ `ntre transformatele Fourier pentru

semnale de energie finit\ analogice [i discrete. Prima const\ `n faptul c\ spectrul semnalului analogic cuprinde un domeniu infinit de frecven]\

, pe când cel pentru semnale discrete este limitat la domeniul sau, echivalent, [ , fiind periodic, de perioad\ . Aceast\

periodicitate este o consecin]\ a periodicit\]ii semnalului exponen]ial complex. ~ntr-adev\r,

),( ∞−∞],( ππ− )2,0 π π2

( )

)(][

][][2 2)2(

ω==

===π+ω

ω−∞

−∞=

π−ω−∞

−∞=

−∞=

π+ω−

∑ ∑

Xenx

eenxenxkX

nj

n

knjnj

n n

nkj

(4.61)

A doua diferen]\ de baz\ const\ `n faptul c\ transformata Fourier a semnalului discret se exprim\ printr-o sum\, `n timp ce pentru cel analogic, cu o integral\. Deoarece este o func]ie periodic\ de variabil\ , ea se poate descompune cu ajutorul seriei Fourier. Coeficien]ii Fourier ai descompunerii sunt valorile secven]ei . Pentru a demonstra aceast\ afirma]ie, se multiplic\ ambii membri ai rela]iei

(4.60) cu [i se integreaz\ pe domeniul [ . Astfel,

)(ωXω

je ω

][nx

m ],ππ−

ω

=ωω ωπ

π−

ω−∞

−∞=

π

π−

ω ∫ ∑∫ deenxdeX mjnj

n

mj ][)( (4.62)

Integrala din membrul drept se calculeaz\ schimb`nd `nt`i ordinea sumei cu integrala. Aceast\ schimbare poate fi f\cut\ dac\ seria

∑−=

−=M

Mn

njM enxX ωω ][)( (4.63)

converge uniform la pentru . Convergen]a uniform\

presupune c\ pentru . (Convergen]a transformatei Fourier este detaliat\ `n paragraful 4.2.6). Presupunând, pentru moment, c\ seria converge uniform, membrul drept al rela]iei (4.62) devine

)(ωX) →ω

∞→M)(( ωXX M ∞→M

( )

π

=ω∫∑π

π−

−ω∞

−∞= 0][2

][mx

denx nmj

n (4.64) nm

nm≠=

Din (4.64) [i (4.62) se ob]ine

ωωπ

= ωπ

π−∫ deXnx nj)(21][ (4.65)

157

Page 158: Prelucrarea digitala a semnalelor

Ecua]ia (4.60) se nume[te ecua]ie de analiz\, iar (4.65), ecua]ie de sintez\.

4.2.5. Spectrul densit\]ii de energie pentru semnale discrete aperiodice Energia Ex a unui semnal discret, definit\ cu rela]ia (2.17) poate fi

exprimat\ `n func]ie de spectrul X(ω), dup\ cum urmeaz\:

∫∑∫

∫∑∑π

π−

ω−∞

−∞=

π

π−

ω−π

π−

−∞=

−∞=

ωωπ

ω

π

=

ωωπ

=⋅=

dXdenxX

deXnxnxnxE

nj

n

nj

nnx

2)(21][)(*

21

)(*21][][*][

(4.66)

Aceast\ rela]ie este cunoscut\ sub numele de echivalen]a energiilor pentru semnale discrete aperiodice, de energie finit\. Spectrul este o m\rime complex\, care `n coordonate polare se exprim\ sub forma

)(ωX

)()()( ωθω=ω jeXX (4.67)

unde este faza, iar )()( ω∠=ωθ X )(ωX modulul lui . )(ωXCa [i `n cazul semnalelor analogice

2)()( ω=ω XS xx (4.68)

reprezint\ distribu]ia de energie a semnalului ca o func]ie de frecven]\ [i se nume[te spectrul densit\]ii de energie.

Pentru un semnal real, ][nx)()(* ω−=ω XX (4.69)

sau, echivalent )()( ω=ω− XX (4.70)

[i ∠ (4.71) )()( ω−∠=ω− XX

Din (4.68) ÷ (4.71) rezult\ )()( ω=ω− xxxx SS (4.72)

Din aceast\ proprietate de simetrie, rezult\ c\ domeniul de frecven]\ pentru semnale discrete aperiodice poate fi redus la 0 (adic\ o jum\tate de perioad\), lucru care s-a putut observa [i la semnale discrete periodice. ~n consecin]\, descrierea unui semnal real discret poate

π≤ω≤

158

Page 159: Prelucrarea digitala a semnalelor

fi realizat\ complet prin specificarea spectrului `n domeniul de frecven]\ sau 0 . π≤ω≤0 2/SFF ≤≤

M

= ∑∞

−∞=

ω

n

jenx ][)

==n

xE

lim ∫π

π−∞→M

)()( ω−ω MXX))( −ω XX

4.2.6. Convergen]a transformatei Fourier ~n ob]inerea transformatei inverse date de (4.65) s-a presupus c\

seria (4.63) converge uniform la când M . Convergen]a

uniform\ presupune c\ pentru fiecare ω [23] )(ωX ∞→

0)()(lim =ω−ω∞→

XX M (4.73)

Convergen]a uniform\ este garantat\ dac\ este absolut sumabil. ~ntr-adevar, dac\

][nx

∞<∑∞

−∞=nnx ][ (4.74)

atunci ∞<≤ω ∑∞

−∞=n

n nxX ][( (4.75)

~n plus, se observ\ c\, dac\ este absolut sumabil, atunci el este un semnal de energie finit\.

][nx

∞<

≤ ∑∑

−∞=

−∞

22

][][n

nxnx (4.76)

Rela]ia (4.74) este o condi]ie suficient\ pentru existen]a transformatei Fourier discrete.

Unele secven]e nu sunt absolut sumabile, dar sunt de p\trat sumabil, adic\ au energie finit\, ceea ce reprezint\ o condi]ie mai slab\ decât (4.74). i pentru aceste semnale, de energie finit\, se poate defini transformata Fourier, dar trebuie relaxate condi]iile convergen]ei uniforme. Pentru asemenea secven]e se poate impune o condi]ie de convergen]\ `n medie p\tratic\ [18]

0)()( 2 =ωω−ω dXX M (4.77)

Energia erorii tinde la zero, dar nu este necesar ca eroarea

(ωM s\ tind\ la zero. ~n acest mod, semnalele de energie finit\

pot fi incluse `n clasa semnalelor pentru care exist\ transformata Fourier. 159

Page 160: Prelucrarea digitala a semnalelor

Exemplul 4.2. S\ se determine r\spunsul la impuls al unui sistem al c\rui

r\spuns `n frecven]\ este

π≤ω<ωω<ω

=ωc

cH,0

,1)( (4.78)

R\spunsul la impuls poate fi determinat cu rela]ia (4.65).

=πω

≠πω

=ωπ

= ωω

ω−∫0,

0,sin

21][

n

nn

n

denhc

c

njc

c (4.79)

Se observ\ c\ h este diferit de zero pentru n<0, deci sistemul este necauzal. De asemenea, nu este absolut sumabil. Aceasta se

datoreaz\ faptului c\ H(ω) este o func]ie discontinu\ `n ω=ω

][n][nh

c [i ω=-ωc.

Seria ∑∑∞

−∞=

ω−∞

−∞=

ω−

πω

=n

njc

n

nj en

nenh sin][ (4.80)

nu converge uniform pentru toate valorile lui ω. Secven]a este de

energie finit\,

][nh

πω

= cxE , deci suma din (4.80) va converge la H(ω) dat de

(4.78) `n medie p\tratic\. ~n continuare se consider\ suma finit\

∫∫ ∑

∑ ∑ ∫ω

ω−

ω

ω−=

ω−θω−θ−

−= −=

ω−ω

ω−

θω−

θθ−ω

θ−ω+π

=θπ

=

=θπ

=πω

c

c

c

c

c

c

dMdee

deeen

nH

M

m

mjM

M

Mn

M

Mn

njnjnjcM

]2/)sin[(]2/))(12sin[(

21

21

21sin)(

2

0

)()(

(4.81)

~n figura 4.2 este reprezentat HM(ω) pentru diverse valori ale lui M. Se observ\ oscila]ii semnificative la ω=ωc, independent de valoarea lui M. Cu cre[terea lui M, oscila]iile au o frecven]\ mai ridicat\, dar m\rimea riplului r\mâne aceea[i. Pentru , oscila]iile converg la punctul de discontinuitate ω=ω

∞→Mc, dar r\mân de aceea[i amplitudine. Oricum, dat

de (4.79) este de p\trat sumabil [i H

][nhM(ω) converge la H(ω) `n medie

p\tratic\. Comportarea oscilatorie a aproxim\rii HM(ω) a lui H(ω) `n punctul

de discontinuitate a lui H(ω) se nume[te fenomen Gibbs. De[i eroarea dintre [i poate p\rea neimportant\, deoarece cele dou\

func]ii difer\ numai `n ω=ω

)(lim ω∞→ MM

H )(ωH

c, se va vedea (la proiectarea filtrelor digitale)

160

Page 161: Prelucrarea digitala a semnalelor

c\ sumele finite au implica]ii importante `n proiectarea sistemelor discrete pentru filtrare.

Figura 4.2. Comportarea oscilatorie la , denumit\ fenomen Gibbs cωω = Fenomenul Gibbs a fost observat ini]ial la trunchierea seriei Fourier pentru semnale analogice periodice. ~n 1898 fizicianul Albert Michelson a construit un analizor armonic, cu care descompunea un semnal pân\ la a optzecea component\, neglijând componentele de ordin superior. ~nsumând componentele, se ob]inea un semnal foarte asem\n\tor cu cel ini]ial, cu o singur\ excep]ie, [i anume, cazul când semnalul analizat era o und\ rectangular\, la reconstruc]ia c\ruia se ob]inea un semnal care avea mici oscila]ii `n vecin\tatea tranzi]iei semnalului. ~n 1899 Gibbs studiaz\ [i explic\ acest fenomen prin neconvergen]a uniform\ a seriei Fourier `n discontinuitate, deoarece odat\ cu cre[terea lui M, amplitudinea oscila]iilor nu descre[te, ele fiind doar "`nghesuite" `nspre momentul de tranzi]ie al semnalului. Din figura 4.2 se observ\ c\, indiferent de valoarea lui M, deci indiferent de calitatea aproxim\rii, valoarea spre care tinde seria `n punctul de discontinuitate a semnalului este media limitelor laterale ale semnalului.

161

Observa]ie: Spre deosebire de semnalele discrete aperiodice, pentru semnale discrete periodice trunchierea seriei Fourier nu conduce la

Page 162: Prelucrarea digitala a semnalelor

apari]ia unui fenomen asem\n\tor cu fenomenul Gibbs, ci numai la o aproximare a acesteia. Aproximarea va fi cu atât mai bun\, cu cât num\rul termenilor `nsuma]i se apropie de N, perioada semnalului. Când se `nsumeaz\ to]i termenii, semnalul ob]inut este chiar , f\r\ nici o eroare. Din cele prezentate pân\ acum s-a observat c\ sumabilitatea absolut\ a unei secven]e (care asigur\ convergen]a uniform\ a transformatei Fourier) [i energia finit\ (care asigur\ convergen]a `n medie p\tratic\) sunt condi]ii suficiente pentru existen]a transformatei Fourier. Exist\ semnalele care nu `ndeplinesc condi]iile semnalate anterior, dar totu[i li se poate asocia o transformat\ Fourier, situa]ie care va fi tratat\ `n paragraful urm\tor.

][nx

4.2.7. Transformata Fourier pentru semnale discrete periodice

Dup\ cum s-a ar\tat `n paragraful 4.2.6, convergen]a uniform\ a

transformatei Fourier a unei secven]e impune ca aceasta s\ fie absolut sumabil\, iar convergen]a `n medie p\tratic\ cere ca secven]a s\ fie de p\trat sumabil. Secven]ele periodice nu satisfac nici una din aceste condi]ii, deoarece ele nu tind spre zero pentru n . Se poate considera c\ secven]ele ce pot fi exprimate ca o sum\ de exponen]iale complexe au transformata Fourier sub forma unui tren de impulsuri [18]. Acesta este cazul semnalelor discrete periodice pentru care transformata Fourier poate fi interpretat\ ca fiind un tren de impulsuri `n domeniul frecven]\ a c\ror valoare este propor]ional\ cu valoarea coeficien]ilor seriei Fourier. Mai precis, dac\ este periodic de perioad\ N [i coeficien]ii corespunz\tori ai seriei Fourier sunt c

±∞→

][nx

]nk, atunci transformata

Fourier a secven]ei periodice este definit\ ca fiind trenul de impulsuri

[x

∑∞

−∞=

π

−ωδπ∆ωk

k kN

cX 22)( (4.82)

De remarcat periodicitatea de pentru atât timp cât cπ2 )(ωX

][nx

k=ck+N, [i impulsurile sunt spa]iate la multipli `ntregi de , unde N este un `ntreg care reprezint\ perioada semnalului . Pentru a ar\ta c\ definit de (4.82) reprezint\ transformata Fourier a semnalului periodic, se `nlocuie[te aceasta rela]ie `n (4.65), ob]inându-se

N/2π)(ωX

ω

π

−ωδππ

=ωωπ ∫ ∑∫

−π

ω∞

−∞=

−π

ω dekN

cdeX njk

k

nj 2

0

2

0

2221)(

21

(4.83)

162

Page 163: Prelucrarea digitala a semnalelor

Se reaminte[te c\ `n evaluarea transformatei Fourier inverse integrarea se poate efectua pe orice interval de perioad\ 2 , deoarece integrandul este periodic de perioad\ 2 . Limitele integralei au fost notate cu 0- [i

pentru a sugera c\ integrarea se efectueaz\ pe un interval care con]ine impulsul din [i `l exclude pe cel din . Prin schimbarea ordinii sumei cu integrala se ob]ine

ππ

ω−π2

0= π=ω 2

∑∫∑∫−

=

π−π

ω∞

−∞=

−π

ω =ω

π

−ωδ=ωωπ

1

0

)/2(2

0

2

0

2)(21 N

k

knNjk

nj

kk

nj ecdekN

cdeX (4.84)

Forma final\ a ecua]iei (4.84) a rezultat datorit\ faptului c\ numai impulsurile corespunz\toare lui k=0, 1, …, (N-1) sunt incluse `n intervalul dintre ω [i ω . −= 0 −π= 2 Comparând rela]ia (4.84) cu (4.24) se observ\ c\ membrul drept al ecua]iei (4.84) este chiar reprezentarea `n serie Fourier pentru semnalul

dat de (4.1). ~n consecin]\, transformata Fourier invers\ a trenului de impulsuri din rela]ia (4.82) este chiar semnalul periodic . De[i transformata Fourier a secven]elor periodice nu converge `n sens obi[nuit, ci numai `n sens distribu]ional, introducerea impulsurilor permite includerea secven]elor periodice `n cadrul celor care pot avea transformat\ Fourier.

][nx][nx

Uneori este util\ cunoa[terea transformatei Fourier pentru semnale care nu sunt nici absolut sumabile, nici de p\trat sumabil. Acest lucru se va ilustra pe exemplele urm\toare.

Exemplul 4.3. Fie secven]a pentru to]i n. Aceast\ secven]\ nu este nici

absolut sumabil\, nici de p\trat sumabil, a[a `ncât, pentru acest caz suma (4.60) nu converge nici uniform, nici `n medie p\tratic\. Formal, se poate stabili o rela]ie similar\ propriet\]ii de dualitate din domeniul analogic `n felul urmator

1][1 =nx

dac\ (4.85) 1][ =δ nFatunci (4.86) )(21 ωπδ=F

unde este func]ia impuls unitate, iar este distribu]ia Dirac. ][nδ )(ωδ~ntr-adev\r, prin `nlocuirea formal\ a rela]iei (4.86) `n (4.65) se ob]ine

∫π

π−

ω− =ωωπδπ

=ωπδ 1)(221)(21 deF nj (4.87)

dar, pentru semnale discrete, spectrul este periodic de perioad\ , [i

π2)2()( kπ−ωδ=ωδ

163

Page 164: Prelucrarea digitala a semnalelor

∫π

π−

πω− ==ωπ−ωπδπ

=π−ωπδ 1)2(221)2(2 21 knjnj edekkF , n (4.88) Z∈

Semnalul se poate scrie ca o sum\ de impulsuri unitate `ntârziate 1][1 =nx

∑∞

−∞=

−δ=k

knnx ][][1 . (4.89)

Acest semnal se poate descompune `ntr-o serie Fourier exponen]ial\ `n forma

∑∑∞

−∞=

−∞=

=−=k

Nknj

kk

ecknnxπ

δ2

1 ][][ (4.90)

Semnalul fiind periodic de perioad\ N=1, se poate descompune `ntr-o serie Fourier ai c\rei coeficien]i sunt

][1 nx

1][1 1

0

2

1 == ∑−

=

πN

n

Nknj

k enxN

c (4.91)

astfel `ncât, prin prelungire periodic\ conform rela]iei (4.90), se poate scrie

][1 nx

(4.92) ∑∞

−∞=

π=k

knjenx 21 ][

inând seama de (4.86), rezult\ c\ (4.93) )2(2 2 keF knj π−ωπδ=π

Cu rela]ia (4.93), rezult\

∑∑∞

−∞=

−∞=

π π−ωδπ=

=kk

knj keFnxF )2(2][ 21 (4.94)

Cu (4.60), spectrul semnalului este ][1 nx

∑∞

−∞=

ω−=ωn

njeX )(1 (4.95)

Din (4.94) [i (4.95) rezult\

∑∑∞

−∞=

−∞=

ω− π−ωδπ=kk

kj ke )2(2 (4.96)

Se constat\ c\ spectrul unui semnal discret [i periodic este, de asemenea, discret [i periodic. ~n cazul considerat, impulsurile care constituie spectrul sunt func]ie de variabil\ continu\ [i, prin urmare, sunt de "`n\l]ime infinit\, l\]ime zero [i arie unitar\", ceea ce este `n concordan]\ cu faptul c\ seria (4.60) nu converge.

ω

164

Page 165: Prelucrarea digitala a semnalelor

Exemplul 4.4.

Fie semnalul care este periodic relativ la , de

perioad\ . Conform rela]iilor (4.60) [i (4.94), spectrul s\u este

njenx 0][2ω= 0ω

π2

∑∑∑∞

−∞=

−∞=

ω−ω−∞

−∞=

ω−ω π−ω−ωδπ=ω−ω===ωkn

nj

n

njnj kXeeeX )2(2)()( 001)(

200

, (4.97) adic\, transformata Fourier a unei exponen]iale complexe de modul unitar este o distribu]ie Dirac de perioad\ 2 , dup\ cum este reprezentat `n figura 4.3.

π

Figura 4.3. Spectrul exponen]ialei discrete

Rezultatul ob]inut `n acest exemplu poate fi extins la semnale periodice care pot fi exprimate ca o suma ponderat\ de exponen]iale complexe (seria Fourier discret\). Astfel, aplicând transformata Fourier rela]iei (4.24) [i tinând seama de liniaritate, rezult\

∑−

=

π

==ω1

0

2

][)(N

k

nN

jk

k eFcnxFX (4.98)

Conform rela]iei (4.97), (4.98) devine

∑ ∑∑∑∞

−∞=

=

−∞=

=

π−

π−ωδπ=

π−

π−ωδπ=ω

r

N

kk

r

N

kk r

Nkcr

NkcX )22(2)22(2)(

1

0

1

0

(4.99) Pentru r fixat, termenii care rezult\ sunt distribu]ii Dirac plasate `n intervalul cu pasul Pentru r+1, `n intervalul imediat urm\tor [ se ob]in acelea[i valori

pentru coeficien]i, adic\ Dac\ se consider\ [i periodicitatea dup\ k, cu perioada N, rela]ia (4.99) se poate scrie sub forma

]/22,2[ Nrr π−ππ2),1(2 rπ +2

./2 Nπ]/2 Nπ.2 1−π Nc

)1(rπ −+,...2, 10 ππ cc

165

Page 166: Prelucrarea digitala a semnalelor

( )∑∑

∑∑

−∞=

−∞=−

−∞=

−∞=

−∞=

π

⋅−ωδπ=

π⋅

π⋅−−ωδπ+

++π⋅π

⋅−ωδπ+

+π⋅π

−ωδπ+π⋅−ωδπ=ω

kk

rN

r

rr

Nkcr

NNc

rN

c

rN

crcX

N

2222)1(2

...)222(2

)22(2)2(2)(

1

2

10

(4.100)

Forma spectrului semnalului periodic este prezentat\ `n figura 4.4. Acesta este format din linii spectrale reprezentate de distribu]ii Dirac plasate la multiplii frecven]ei de . Amplitudinile acestora au valoarea

. Se remarc\ periodicitatea cu a spectrului, ca rezultat al

periodicit\]ii coeficien]ilor seriei Fourier [i al plas\rii distribu]iilor la multipli `ntregi de ω .

N/2π

N/π

( )Nkcπ2 π2

20 =

Figura 4.4. Spectrul unui semnal discret periodic, format din distribu]ii Dirac plasate la

ωk=2kπ/N [i periodic de perioad\ 2π Exemplul 4.5.

Fie semnalul discret periodic , de perioad\

N. S\ se determine spectrul acestui semnal.

∑∞

−∞=

−δ=δk

N kNnn ][][

Solu]ie. Coeficien]ii Fourier ai acestui semnal periodic sunt

Nen

Nc

nN

jkN

nNk

1][1 21

0=δ=

π−−

=∑

Conform rela]iei (4.100), spectrul acestui semnal periodic este

NN

kN

nFk

N /2,)()2(2][ 00 0π=ωωδω=

π−ωδ

π=δ ∑

−∞=ω . (4.101)

166

Page 167: Prelucrarea digitala a semnalelor

4.2.8. Rela]ia `ntre transformata Fourier [i transformataZ Transformata Z a unei secven]e este definit\ ca ][nx

n

nznxzX −

−∞=∑= ][)( RC: 12 rz <<r (4.102)

Dac\ se exprim\ z `n form\ polar\ ω= jrez (4.103)

unde zr = [i z∠=ω , atunci `n regiunea de convergen]\

njn

nrez

ernxzX jω−−

−∞== ∑=ω ][)( (4.104)

Din (4.104) se observ\ c\ poate fi interpretat\ ca transformat\

Fourier a semnalului .

)(zXnrnx −][

Termenul poate fi v\zut ca un factor de ponderare ce cre[te cu n, dac\ [i descre[te pentru . Dac\ converge pentru

nr −

1<r 1>r )(zX 1=z , atunci

nj

nez

enxXzX jω−

−∞== ∑=ω=ω ][)()( (4.105)

adic\ transformata Fourier poate fi v\zut\ ca transformata Z a unei secven]e evaluat\ pe cercul unitate. Dac\ nu converge `n regiunea )(zX

1=z (cercul unitate nu este con]inut `n RC a lui ), transformata

Fourier fie nu exist\, fie nu se ob]ine prin simpla `nlocuire `n X(z). Reciproc, dac\ exist\, atunci converge pe cercul unitate. Din cele prezentate pân\ acum se desprind dou\ observa]ii.

)(zX

)(ωX )(zX

1. Exist\ secven]e pentru care exist\ transformata Z, dar care nu au transformat\ Fourier sau, dac\ au, aceasta nu se calculeaz\ prin evaluarea lui X(z) pe cercul unitate. Existen]a transformatei Z impune ca secven]a nrnx −][ s\ fie absolut sumabil\ pentru anumite valori ale lui r, adic\

∞<∑∞

−∞=

n

nrnx ][ (4.106)

Dac\ (4.106) converge numai pentru valori ale lui r> 1, atunci transformata Z exist\, dar transformata Fourier nu exist\. Acesta este, de exemplu, cazul secven]ei cauzale exponen]iale , unde ][][ nuanx n=

.1>a

167

Page 168: Prelucrarea digitala a semnalelor

2. Exist\ semnale de energie finit\ care au transformat\ Fourier, dar care nu admit transformat\ Z.

De exemplu, semnalul

∞<<∞−πω

= nn

nnx c ,sin][ (4.107)

nu satisface rela]ia (4.106), [i, deci, nu admite transformat\ Z, dar, deoarece este de energie finit\, transformata sa Fourier converge `n medie p\tratic\ la func]ia discontinu\ )(ωX

π≤ω<ωω<ω

=ωc

cX,0

,1)( (4.108)

~n concluzie, existen]a transformatei Z necesit\ ca (4.106) s\ fie satisf\cut\ `ntr-o anumit\ regiune a planului Z. Dac\ aceast\ regiune con]ine cercul unitate, transformata exist\. Existen]a transformatei Fourier pentru semnale de energie finit\ nu asigu\ `n mod necesar existen]a transformatei Z.

)(ωX

4.2.9. Propriet\]ile transformatei Fourier pentru semnale

discrete aperiodice de energie finită

Transformata Fourier a semnalelor discrete aperiodice de energie finit\ prezint\ o serie de proprier\]i foarte utile `n reducerea complexit\]ii analizei acestora. Se vor folosi nota]iile:

∑∞

−∞=

ω−==ωn

njenxnxFX ][][)( (4.109)

pentru transformarea direct\ (ecua]ie de analiz\) [i

ωωπ

=ω= ω

π

− ∫ deXXFnx nj

2

1 )(21)(][ (4.110)

pentru transformarea invers\ (ecua]ie de sintez\). [i se vor numi perechi Fourier. Se reaminte[te c\ este o func]ie periodic\ de perioad\ .

][nx )(ωX)(ωX

π2Propriet\]ile transformatei Fourier prezentate `n continuare sunt

similare celor ale transformatei Z, ob]inându-se din acestea prin `nlocuirea . ωjez =1. Liniaritatea Dac\ )(][ 11 ω→← Xnx F

[i )(][ 22 ω→← Xnx F

168atunci a (4.111) )ω()ω(][][ 22112211 XaXaFnxanx +→←+

Page 169: Prelucrarea digitala a semnalelor

2. Transla]ia (deplasarea) `n domeniul timp Dac\ )(][ ω→← Xnx F

atunci (4.112) )(][ ω→←− ω− Xeknx kjF

3. Reflectarea `n timp a semnalului Dac\ )(][ ω→← Xnx F

atunci (4.113) )(][ ω−→←− Xnx F

Aceasta `nseamn\ c\ prin reflectarea unui semnal se ob]ine un semnal al c\rui spectru are acela[i modul cu cel al lui , dar faza sufer\ o schimbare de semn.

][nx[x ]n

4. Modularea `n domeniul timp Dac\ )(][ ω→← Xnx F

atunci e (4.114) )(][ 00 ω−ω→←ω Xnx Fnj

5. Scalarea variabilei `n domeniul timp Dac\ )(][ ω→← Xnx F

atunci (4.115) )(][)( ω→← mXnx Fm

unde este dat de (4.46). ][)( nx m

6. Conjugarea complex\ a semnalului Dac\ [i ,

)(][ ω→← Xnx F

Cnx ∈][atunci (4.116) )(][ ω−→← ∗∗ Xnx F

7. Transformarea diferen]ei de ordinul `ntâi a semnalului discret Expresia este echivalent\ diferen]ierii `n domeniul anlogic.

Dac\

]1[][ −− nxnx)(] ω→← XF[nx

atunci (4.117) )()1(]1[][ ω−→←−− ω− Xenxnx jF

8. Teorema convolu]iei Dac\ )(][ 11 ω→← Xnx F

[i )(][ 22 ω→← Xnx F

atunci (4.118) )ω()ω()ω(][][][ 2121 XXXnxnxnx F ⋅=→←∗=9. Teorema corela]iei Dac\ [i )(][ 11 ω→← Xnx F )(][ 22 ω→← Xnx F

atunci r (4.119) )()()()( 212121ω−ω=ω→← XXSl xx

Fxx

)(21ωxxS se nume[te spectrul densit\]ii de energie de intercorela]ie a

semnalelor [i . ][1 nx ][2 nx10. Multiplicarea a dou\ secven]e Dac\ )(][ 11 ω→← Xnx F )(][ 22 ω→← Xnx F

atunci ∫π

π−λλ−ωλ

π=ω→←⋅= dXXXnxnxnx F )()(

21)(][][][ 213213 (4.120)

169

Page 170: Prelucrarea digitala a semnalelor

Demonstra]ia rezult\ din (3.27). Dac\ [i )(1 νX

ν1

2X converg pe

cercul unitate definit de ν ,− , se poate alege cercul unitate drept contur de integrare pentru integrala din (3.27). ~nlocuind

[i în (3.27), apoi schimb`nd variabila din ν în λ se

ob]ine (4.120), unde

λ= je π<λ<π

λ=ν je ω= jez

λ= jeννX )(1=λX )(1 ,

ω= jeν

ν

=λj ze

zX,

2)=ν

−ωX 2 ( .

Integrala din rela]ia (4.120) reprezint\ convolu]ia transformatelor [i [i rela]ia (4.120) este duala convolu]iei în domeniul

timp. Aceasta `nseamn\ c\ multiplicarea a dou\ secven]e în domeniul timp este echivalent\ cu convolu]ia lor în domeniul frecven]\, [i invers.

)(1 ωX )(2 ωX

11. Teorema Wiener-Hincin Fie un semnal real. Atunci ][nx)(][ ω→← xx

Fxx Slr (4.121)

adic\, densitatea spectral\ de energie pentru un semnal de energie finit\ este transformat\ Fourier a func]iei de autocorela]ie a semnalului. Acesta este un caz particular al rela]iei (4.119). 12.Teorema lui Parseval Dac\ [i )(][ 11 ω→← Xnx F )(][ 22 ω→← Xnx F

atunci ωω⋅ωπ

= ∫∑π

π−

−∞=

dXXnxnxn

)()(21][][ *

21*21 (4.122)

Pentru demonstrarea rela]iei (4.122), se exprim\ din (4.110) [i apoi se folose[te (4.109).

][1 nx

~n cazul `n care , rela]ia (4.122) devine ][][][ 12 nxnxnx ==

∫∑ π

−∞=

ωωπ

=2

22 )(21][ dXnx

n (4.122')

adic\ s-a ob]inut echivalen]a energiilor, ca o consecin]\ a rela]iei lui Parseval. Membrul stâng al rela]iei (4.122') reprezint\ energia semnalului

, egal\, de asemenea, cu func]ia de autocorela]ie , evaluat\ `n

. Integrandul din (4.122') reprezint\ spectrul densit\]ii de energie care, integrat pe un interval de are ca rezultat energia total\ a semnalului. Rezumând, se poate scrie

][nx0=l

][lrxx

π2

∫∫∑π

π−π

−∞=

ωωπ

=ωωπ

=== dSdXnxrE xxn

xxx )(21)(

21][]0[

2

22 (4.123)

13. Derivarea `n domeniul spectrului Dac\ )(][ ω→← Xnx F

170

Page 171: Prelucrarea digitala a semnalelor

atunci ωω

→←d

dXjn )(][nx (4.124)

14. ~nsumarea `n domeniul timp Dac\ )(][ ω→← Xnx F

atunci )()0(1

)(][ 2 ωδπωπω X

eXkx j

n

k+

−→← −

−∞=∑ (4.125)

Se consider\ un semnal cu proprietatea c\ . Dac\ se

noteaz\ cu V spectrul s\u, pentru se ob]ine

][nv ∑∞

−∞=

=k

kv 0][

)(ω 0=ω

∑∑∞

−∞==ω

−∞=

ω− ===nn

nj nvenvV 0][][)0(0

(4.126)

Suma par]ial\ a semnalului v este ][n

∑−∞=

=−−=n

knvnunukvnu ][]1[][];[][ 111 [i U spectrul s\u. )(1 ω

Conform rela]iei (4.117), se ob]ine

0)0()()()1( 1 ==− − VcuVUe j ωωω , adic\ ω

ωω jeV

−−=

1)()(1U . (4.127)

Rela]ia (4.127) are sens numai dac\ V(0)=0, deoarece 0)10=−

ω− je(

~n continuare se va determina spectrul semnalului treapt\ unitate u care nu satisface condi]ia (4.126). Din exemplul 4.3 se observ\ c\ spectrul unui semnal discret constant este format din linii spectrale plasate la multipli de 2 pe axa frecven]elor. Fie componenta spectrului

treptei unitate pentru frecven]ele , de forma

, unde g este o constant\. Sc\zând

aceast\ component\ din spectrul treptei unitate , spectrul r\mas corespunde unui semnal ce satisface condi]ia (4.126). Cum X

][n

π

=ω)

)(ωug=ω

X

n][

πk2

)(ωu

C−

∑∞

−∞=π π−ωδδ=ω

ku kggg )2(()( 2

u(0) =g u(0) este componenta continu\ a semnalului, sc\zând din semnal aceast\ component\ continu\ C, se ob]ine semnalul u , c\ruia i se poate aplica rela]ia (4.127). ( [i, deci ][]1[][)]1[()][ nnunuCnuCnu δ=−−=−−−−

)(1

1)(;1

1)()( 2 ωδωωω πωω ge

Xe

gX jujuu +−

=−

=−−−

(4.128)

171

Pentru a determina constanta g se arat\ c\ partea impar\ a treptei unitate discrete este de forma

Page 172: Prelucrarea digitala a semnalelor

][][][ nbanunuo δ++= (4.129)

Cum u , rezult\ 1+a+b=0 [i (4.129) se poate scrie 0]0[ =o

][1][2

][][ nbbnununuδ+−−=

−−.

Pentru n=1 se ob]ine b=-1/2 [i apoi a=-1/2. Componenta impar\ a treptei unitate este

][)2/1(2/1][][ nnunuo δ−−= (4.129') [i are, dup\ cum se va vedea (proprietatea 15), un spectru pur imaginar.

2/1)(2)2/1()()]([ 2 −ωπδ−ω=ω πuo XnuF . ~nlocuind (4.128) `n (4.129'), se ob]ine

Rgctgj

geeg

enuF j

j

jo

∉−+−=

=−+−+

=−+−−

=−

)()(22

1

)()(11

21)()(

21

11)]([

2

22

ωδπω

ωδπωδπω

π

πω

ω

πω

Aceasta impune [i rela]ia (4.128) devine π=g

)2(1

1)(1

1)(][ 2 π−ωδπ+−

=ωπδ+−

=ω→← ∑∞

−∞=ω−πω− k

eeXnu

kjju

F (4.130)

Semnalul sum\ par]ial\ se poate scrie ca o convolu]ie ][ny

(4.131) ][][][][ nunxkxnyn

k∗== ∑

−∞=

Aplicând teorema convolu]iei rela]iei (4.131) [i ]inând seama de (4.130) se ob]ine

)()(1

)()( 2 ωδωπ+−ω

=ω πω− Xe

XY j (4.132)

)2()2()2()( π−ωδπ=π−ωδω kkXkX)0()2( XkX =π ()( ωδωX

[i cum este periodic\, adic\ , rezult\ c\ [i rela]ia

(4.125) este demonstrat\.

)(ωX)0(= X )2()2 π−ωδπ− kk

15. Propriet\]i de simetrie Dac\ un semnal prezint\ propriet\]i de simetrie în domeniul timp,

este posibil\ deducerea unor caracteristici ale semnalului în domeniul frecven]\.

Semnalele [i se presupun complexe, adic\ ][nx )(ωX][][][ njxnxnx IR += (4.133)

][)()( njXXX IR +ω=ω (4.134) unde indicii [i indic\ partea real\, respectiv imaginar\. R I 172

Page 173: Prelucrarea digitala a semnalelor

~nlocuind (4.133) [i e în (4.109) [i separând p\r]ile reale [i imaginare, se ob]ine

njnnj ω−ω=ω− sincos

nnxnnxX In

RR ω+ω=ω ∑∞

−∞=

sin][cos][)( (4.135)

]cos][sin][[)( nnxnnxX In

RI ω−ω−=ω ∑∞

−∞=

(4.136)

Similar, din (4.110) se ob]ine

[ ] ωωω−ωωπ

= ∫ πdnXnXnx IRR 2

sin)(cos)(21][ (4.137)

[ ] ωωω+ωωπ

= ∫ πdnXnXnx IRI 2

cos)(sin)(21][ (4.138)

~n continuare, se vor considera c`teva cazuri particulare. a) Semnale reale Dac\ este real → [i

. Atunci

][nx ][][ nxnxR =0][ =nxI

nnxXn

R ωcos][)ω( ∑∞

−∞=

= (4.139)

nnxXn

I ωsin][)ω( ∑∞

−∞=

−= (4.140)

Deoarece [i , rezult\ nn ω=ω− cos)cos( nn ω−=ω− sin)sin()()( ω=ω− RR XX simetri pară (4.141)

)ω()ω( II XX −=− simetrie impară (4.142) Combin`nd (4.141) cu (4.142), se ob]ine

)()(* ω−=ω XX , (4.143) caz `n care se spune c\ spectrul unui semnal real are simetrie hermitic\. ~n acest caz, modulul [i faza spectrului sunt

)ω()ω()ω( 22IR XXX += (4.144)

)()()(

ωω

=ω∠R

I

XXarctgX (4.145)

Ca o consecin]\ a rela]iilor (4.141) [i (4.142), atât spectrul de modul cât [i cel de faza prezint\ propriet\]i de simetrie.

)()( ω−=ω XX simetrie par\ (4.146)

)()( ω−∠=ω−∠ XX simetrie impar\ (4.147)

173

Page 174: Prelucrarea digitala a semnalelor

Deoarece , din (4.137) rezult\ ][][ nxnx R=

ωωω−ωωπ

= ∫ π dnXnXnx IR ]sin)(cos)([21][

2 (4.148)

Deoarece ambele produse [i sunt func]ii pare, rezult\

nX R ωω cos)( nX I ωω sin)(

ωωω−ωωπ

= ∫π dnXnXnx IR ]sin)(cos)([1][ (4.149)

a1) Semnale reale pare Dac\ este real [i par ( ) atunci este par [i este impar. Din (4.139), (4.140) [i (4.149) se ob]ine

][nxnω

][][ nxnx =−nnx ωcos][ nx sin][

∑∞

=

ω+=ω1

cos][2]0[)(n

R nnxxX , (4.150) )()( ω=ω− RR XX

0)( =ωIX (4.151)

ωωωπ

= ∫π

dXnx R cos)(1][0

(4.152)

Cu alte cuvinte, spectrele semnalelor discrete reale [i pare sunt reale [i, în plus, sunt func]ii pare de ω .

a2) Semnale reale impare Dac\ este real [i impar, , atunci

][nx])[][( nxnx −=−

0)( =ωRX (4.153)

∑∞

=

ω−=ω1

sin][2)(n

I nnxX , (4.154) )()( ωω II XX −=−

ωωωπ

−= ∫π

ndXnx I sin)(1][0

(4.155)

Cu alte cuvinte, semnalele discrete reale [i impare au spectrul pur imaginar [i, în plus, acesta este o func]ie impar\ de . ω

b) Semnale pur imaginare ~n acest caz ; . Astfel, (4.135), (4.136) [i (4.138) devin

0][ =nxR ][][ njxnx I=

∑∞

−∞=

ω=ωn

IR nnxX sin][)( (4.156)

∑∞

−∞=

ω=ωn

II nnxX cos][)( (4.157)

ωωω+ωωπ

= ∫π

dnXnXnx IRI ]cos)(sin)([1][0

(4.158)

174

Page 175: Prelucrarea digitala a semnalelor

b1) Semnale pur imaginare pare Dac\ este par

( ), rezult\

][nxI

[ ] [nxnx II =− ]( ) 0=ωRX (4.159)

( ) [ ] [ ] nnxxXn

III ω+=ω ∑∞

=

cos201

(4.160)

[ ] ( )∫π

ωωωπ

=0

cos1 ndXnx II (4.161)

b2) Semnale pur imaginare impare Dac\ este impar

, atunci

][nxI

])[][( nxnx II −=−

∑∞

=

ω=ω1

sin][2)(n

IR nnxX (4.162)

0)( =ωIX (4.163)

ωωωπ

= ∫π

ndXnx RI sin)(1][0

(4.164)

4.2.10. Cepstrum

Se consideră secvenţa x[n] stabilă, cu transfomata Z, X(z),

convergentă pe cercul unitate. Cepstrul complex al secvenţei x[n] se defineşte ca secvenţa c [n], care este transformata Z inversă a secvenţei , unde

x

)(zCx

)(ln)( zXzCx = (4.165) Cepstrul complex există dacă ) converge într-o regiune

inelară , unde 0 şi . În interiorul regiunii de convergenţă ) poate fi reprezentat în serie Laurent

(zCx

12 >r21 || rzr <<(zCx

11 << r

)(ln)( zXzCx = =∑ (4.166) ∞

∞−

−nx znc ][

unde ∫ −

π=

c

nx dzzzXn 1)(ln

21][c (4.167)

unde C este un contur închis din regiunea de convergenţă care conţine originea.

175

Page 176: Prelucrarea digitala a semnalelor

Dacă ) poate fi reprezentat ca în relaţia (4.166), secvenţa complexă ]c este stabilă şi, mai mult, dacă cepstrul complex există,

converge pe cercul unitate.

(zCx

[nx

)(zCx

∑∞

∞−

ω−=ω=ω njxx encXC ][)(ln)( (4.168)

şi ωωπ

= ωπ

π−∫ deXnc nj

x )(ln21][ (4.169)

Exprimând în formă polară )(ωX (4.170) )(|)(|)( ωθωω jeXX =

atunci ln (4.171) )(|)(|ln)( ωθωω jXX +=

Înlocuind (4.171) în (4.169), se obţine

ωωθ+ωπ

= ωπ

π−∫ dejXnc nj

x )](|)(|[ln21][ (4.172)

Separând transformata Fourier inversă din (4.172) în transformatele Fourier inverse ale lui ln|X( )| şi , se obţine ω )(ωθ

ωωπ

= ωπ

π−∫ deXn nj

m |)(|ln21][c (4.173)

∫π

π−

ωθ ωωθ

π= den nj)(

21][c (4.174)

În unele aplicaţii, ca de exemplu în procesarea semnalului vocal, se calculează numai componenta , iar faza lui este ignorată şi, prin urmare, secvenţa x[n] nu poate fi refacută din . Aceasta înseamnă că transformarea nu este inversabilă. Cepstrul complex este folosit în practică pentru a se separa cele doua semnale care intervin într-o operaţie de convoluţie. Acest proces de separare se numeşte deconvoluţie, iar folosirea cepstrului complex în efectuarea acestei separări se numeşte deconvoluţie homomorfică

][ncm

][n →

)(ωX][ncm

][ncx m

.

176

Page 177: Prelucrarea digitala a semnalelor

4.2.11. Trasformata Fourier a semnalelor cu poli pe cercul unitate

În paragraful 4.2.8 s-a arătat că transformata Fourier a unei secvenţe

x[n] poate fi obţinută prin evaluarea transformatei Z, X(z), pe cercul unitate, cu condiţia ca acesta sa fie conţinută în RC a lui X(z), în caz contrar, transformata Fourier fie nu există, fie nu se determină în acest mod. Există unele semnale aperiodice care nu sunt nici absolut sumabile, nici de energie finită (condiţii care asigurau, conform paragrafului 4.2.6 convergenţa transformatei Fourier). Pentru aceste semnale este util a extinde reprezentarea prin transformată Fourier în sens distribuţional. Matematic acest lucru poate fi realizat riguros permiţând transformatei Fourier să conţină impulsuri la anumite frecvenţe corespunzatoare localizării polilor lui X(z) de pe cercul unitate. Impulsurile sunt funcţie de frecvenţa continuă şi au amplitudine infinită, laţime zero şi arie unitară. La limită, un astfel de impuls poate fi văzut ca un puls rectangular

de înălţime

ω

a1 şi laţime a, când a 0. →

Astfel, permiţând introducerea acestor impulsuri în spectrul semnalului, este posibil a extinde reprezentarea prin transformată Fourier a unor semnale care nu sunt nici absolut sumabile, nici de energie infinită. Urmatoarele exemple ilustrează extinderea transformatei Fourier pentru trei secvenţe cu poli pe cercul unitate.

Exemplul 4.6 Să se determine transformata Fourier pentru următoarele semnale: a) ][][1 nunx = b) ][)1(][2 nunx n−= c) ][)(cos][ 03 nunnx ω=prin evaluarea transformatei Z corespunzătoare pe cercul unitate.

a) Din Tabelul 3.1,11

1)( 11 −=

−= − z

zz

zX RC : |z|>1

) un pol pe cercul unitate, dar converge pentru |z|>1. (1 zX 11 =p Evaluând pe cercul unitate, exceptând , se obţine )(1 zX 1=z

,...1,0,2;)2/sin(2

1)2/sin(2

)()

2(2/

1 =π≠ωω

=ωπ

−ωω

kkej

eXjj

177

Page 178: Prelucrarea digitala a semnalelor

La şi multipli de conţine impulsuri de arie , aşa cum s-a arătat în exemplul din paragraful 4.2.9, proprietatea 14.

0=ω )(,2 1 ωπ X π

Prezenţa polului la z=1 (adică la ) creează probleme numai dacă se doreşte calcularea lui | în , deoarece acolo această mărime este infinită. Deşi, la o primă vedere, s-ar putea crede că semnalul ar avea componentă continuă, nu este cazul, deoarece acesta nu este constant pentru , ci are un salt abrupt la n=0, ceea ce determină existenţa tuturor frecvenţelor din intervalul 0 .

0=ω=ω|)(1 ωX 0

∞<<∞− nπω <<

b) 11

1)( 12 +=

+= − z

zz

zX RC |z| > 1

care are un pol la . Transformata Fourier evaluată la frecvenţe diferite de şi multipli de ai acesteia este

πjez =−= 1π=ω π2

ω

ω

2cos2

)(2

2

j

ex

+π≠

212 kω k=0,1….

În acest caz impulsurile apar la ππω k2+=

ω

2cos2

1|)(2X| k=0,1…. ππω +≠ k2

şi faza

π+ω

≥ωω

=ω0

2cos

2

02

cos2)(2

pentru

pentruX∠

Datorită prezenţei polului la (adică frecvenţa ) modulul transformatei Fourier devine infinit, | pentru .

1−=a πω =π=∞→ω |)(X ω

c) devine infinit la , )(3 ωX 0ωω =

20

10

1

3 cos21cos1

)( −−

+ω−ω−

=zz

zzX , RC : |z|>1.

transformata Fourier este

....1,0;2;)1)(1(

cos1)( 0)()(

03 00

=π+ω≠ω−−ω−

=ω ω+ω−ω−

ω−

kkee

eX jwj

j

.....1,0,2,|1||1|

|cos1||)(| 0)()(

03 00

=π+ω≠ω−−ω−

=ω ω+ωω−ω−

ω−

kkee

eX jj

j

178

Page 179: Prelucrarea digitala a semnalelor

pentru sau , | devine infinită, pentru orice altă valoare aceasta fiind bine definită.

0ωω −= 0ωω = |)(3 ωX

4.3. Caracterizarea semnalelor în domeniul frecven]\ [i dualitatea timp frecven]\

4.3.1. Dualitatea semnalelor Pentru analiza în frecven]\ a semnalelor, în paragrafele precedente

s-au introdus: -seria Fourier pentru semnale analogice [i discrete periodice; -transformata Fourier pentru semnale analogice [i discrete aperiodice. Din paragrafele 4.1 [i 4.2 se observ\ c\ exist\ dou\ caracteristici ale semnalelor care determin\ caracteristicile spectrului, [i anume, natura variabilei, continu\ sau discret\, [i periodicitatea sau neperiodicitatea semnalului. Formulele de analiz\ [i sintez\ ob]inute `n paragrafele 4.1. [i 4.2 pentru semnale analogice [i discrete periodice sau aperiodice se numesc duale una alteia [i sunt prezentate în tabelul din figura 4.5. Se desprind urm\toarele concluzii: a) Semnalele analogice au spectrul aperiodic. Acest lucru se datoreaz\

faptului c\ exponen]iala complex\ e este o func]ie de variabil\ continu\ t [i, deci, nu este periodic\ în F. Spectrul semnalelor continue se `ntinde pe `ntreaga ax\ real\, dar `n anumite situa]ii de simetrie aceasta se reduce la axa real\ pozitiv\ de la F=0 la F=∞ .

Ftj π2

b) Semnalele discrete au spectrul periodic. Într-adev\r, atât seria Fourier, cât [i transformata Fourier pentru semnale discrete sunt func]ii periodice cu perioada egal\ cu . Ca urmare a acestei periodicit\]i domeniul de frecven]\ al spectrului semnalelor discrete este finit, [i cuprins între [i , unde corespunde celei mai înalte frecven]e posibile de oscila]ie. ~n anumite condi]ii de simetrie acesta poate fi doar ω .

π2

ππ−=ω

,0[∈

]

π=ω

πc) Semnalele periodice au spectrul discret. Acestea sunt descrise de serii

Fourier, ai c\ror coeficien]i reprezint\ “liniile” spectrului discret. Spa]iul dintre linii de ∆F sau ∆f este egal cu inversul perioadei Tp

179

Page 180: Prelucrarea digitala a semnalelor

respectiv N, din domeniul timp, adic\ pT

F 1=∆ pentru semnale

analogice periodice [i N

f 1=∆ pentru semnale discrete periodice.

d) Semnalele aperiodice de energie finit\ au spectrul continuu. Acest lucru este o consecin]\ a faptului c\ atât X(F) cât [i X(ω) sunt func]ii de ej2πFt [i, respectiv ejωn, care sunt func]ii continue de F [i ω.

Periodicitatea cu perioada α într-un domeniu implic\ discretizarea cu spa]iere de 1 în cel\lalt domeniu, [i invers. ~n domeniul frecven]\ perioada se refer\ la banda de frecven]\, iar `n domeniul timp spa]ierea se refer\ la perioada de e[antionare. Se observ\ c\ toate rela]iile duale difer\ numai în semnul exponentului exponen]ialei complexe. Aceast\ schimbare de semn poate fi interpretat\ ca o reflectare a semnalului sau spectrului, deoarece

α/

(4.165) ( ) ( tFjtFjFtj eee −π−ππ− == 222 )

Din punct de vedere energetic s-a folosit termenul de densitate spectral\ de energie `n caracterizarea semnalelor aperiodice de energie finit\ [i termenul de densitate spectral\ de putere pentru semnale periodice, terminologie care este `n concordan]\ cu faptul c\ semnalele periodice sunt de putere finit\, iar cele aperiodice de energie finit\.

4.3.2. Clasificarea semnalelor în domeniul frecven]\

O posibil\ clasificare a semnalelor `n domeniul frecven]\ se poate efectua dup\ banda dominant\ din spectrul lor.

Dac\ un semnal de putere (sau energie) finit\ are spectrul densit\]ii de putere (sau energie) concentrat în jurul frecven]ei zero, atunci acesta se nume[te de joas\ frecven]\.

Dac\ spectrul densit\]ii de putere (sau de energie) este concentrat la frecven]e înalte, acesta se nume[te semnal de înalt\ frecven]\. Dac\ spectrul densit\]ii de putere (sau de energie) al unui semnal este concentrat într-un domeniu cuprins între frecven]ele joase [i înalte, acesta se nume[te semnal trece-band\ sau de medie frecven]\.

180

În plus fa]\ de aceast\ clasificare general\, se mai folose[te o m\sur\ cantitativ\ pentru domeniul în care este concentrat spectrul densit\]ii de putere (sau energie), numit\ l\]ime de band\. Spre exemplu, dac\ 95% din spectrul unui semnal analogic este concentrat în domeniul de frecven]\ , atunci 95% din l\]imea de band\ a semnalului este F

21 FFF ≤≤2-F1. În cazul semnalelor trece band\, termenul de band\ îngust\ se

Page 181: Prelucrarea digitala a semnalelor

folose[te pentru a descrie un semnal a c\rui l\]ime de band\ F2-F1 este

mult mai mic\ (≤ ori) decât frecven]a median\ 102

21 FF +. În caz contrar

semnalele se numesc de band\ larg\. Un semnal este de band\ limitat\, dac\ spectrul s\u este zero în afara domeniului de frecven]\ BF ≥ .

F[ ]nx

t

( )

=t

De exemplu, un semnal continuu, de energie finit\ x(t) este de band\ limitat\, dac\ transformata sa Fourier X(F)=0 pentru >B. Un

semnal discret , de energie finit\, este periodic de band\ limitat\, dac\

( ) 0=ωX pentru ω < o ω < π.

Similar, un semnal analogic periodic, xp(t), este de band\ limitat\ dac\ coeficien]ii s\i Fourier ck=0 pentru k >M cu M întreg pozitiv.

Un semnal discret periodic, cu perioada fundamental\ N este periodic de band\ limitat\, dac\ coeficien]ii s\i Fourier ck=0 pentru ko < k <N.

Folosind dualitatea dintre domeniile frecven]\ [i timp se pot folosi mijloace similare în caracterizarea semnalelor în domeniul timp. Un semnal se nume[te limitat în timp sau de durat\ finit\ dac\: x(t)=0 pentru >τ pentru semnale analogice [i x [ =0 pentru ]n n >N

pentru semnale discrete aperiodice. Dac\ semnalul este periodic, el este

limitat în timp, dac\ xp(t)=0 pentru τ< t <2

pT pentru semnale analogice

[i =0 pentru n][nx o<n<N pentru semnale discrete. O caracteristic\ de baz\ a oric\rui semnal este aceea c\ el nu poate fi simultan de band\ [i durat\ limitat\.

4.4. Probleme propuse

4.1. S\ se calculeze [i s\ se reprezinte spectrul de modul [i de faz\ pentru urm\toarele semnale: ( ) 0>a

a) , b)00

0 <≥−

ttAe

xat

a ( ) taa Aetx −=

181

Page 182: Prelucrarea digitala a semnalelor

4.2. Fie semnalul ( )restîn0

/1 τ≤

τ−

=tt

tx

a) S\ se determine [i s\ se reprezinte spectrul de modul [i de faz\ ( )FX a

[i . ( )FX a∠b) S\ se creeze semnalul periodic xp(t), cu perioada fundamental\

, astfel încât x(t)=xτ≥ 2pT p(t) pentru | . Care sunt coeficien]ii

Fourier c

2/| pTt <

k pentru semnalul xp(t)? c) S\ se arate c\ :ck=(1/TP) Xa(k/TP).

4.3. Se consider\ semnalul

[ ]4

3cos21

2cos

4cos22 nnnnx π

+=

a) S\ se determine [i s\ se reprezinte spectrul densit\]ii de putere. b) S\ se determine puterea semnalului.

4.4. S\ se determine [i s\ se reprezinte spectrele de modul [i de faz\ ale urm\toarelor semnale periodice.

a) ( )

32sin4][ −π

=nnx , b) nnnx

52sin

32cos][ π

=

c) nnnx5

2sin3

2cos][ ππ= ,d) [ ] ,....2,1,0,1,2,2,1,0,1,2...., −−−−=nx

e) , f) [ ] ,...0,0,1,1,0,0,0,1,1,0,0....,=nx [ ] 1=nx ∞<<∞− n

4.5 S\ se determine semnalele periodice, cu perioada fundamental\ , da]i fiind coeficien]ii lor Fourier 8=N

a) 4

3sin4

cos π+

π=

kkck , b)

=≤≤π

=7

60

03

sink

kkck

c)

= ,....0,

41,

21,1,2,1,

21,

41,0....,kc

4.6. S\ se determine semnalele care au urm\toarele transformate

Fourier:

182

Page 183: Prelucrarea digitala a semnalelor

a) ( )π≤ω<ω

ω≤ω≤

=ω0

0010

X

b) ( ) ω=ω 2cosXc) Semnalul din figura p.4.6.

Figura p4.6.

4.7. S\ se determine transformata Fourier a urm\toarelor semnale:

a) , b) [ ]restîn0

1 MnMnx

≤≤−

= [ ]restîn

001 Mn

nx≤≤

=

c) [ ]restîn

101 −≤≤−

=nM

nx

4.8. Se consider\ semnalul a c\rui [ ] 1,2,3,2,1 −−−=nx

transformat\ Fourier este . S\ se calculeze urm\toarele m\rimi: ( )ωX

a) ; b) ∠ ; c) ;d) ; e) ( )0X ( )ωX ∫π

π−ωω dX )( ( )πX ( ) ωω∫

π

πdX

2

4.9. Fie un semnal arbitrar, nu neap\rat real, cu transformata

Fourier . S\ se exprime transformata Fourier a urm\toarelor

semnale în func]ie de .

[ ]nx( )ωX

( )ωX

a) ; b) ; c) ; d) ; ][* nx ][* nx − ]1[][][ −−= nxnxny ∑−∞=

=n

kkxny ][][

e) ; f) . ]2[][ nxny =restînparn

0]2/[

][

=nx

ny

183

Page 184: Prelucrarea digitala a semnalelor

184

Page 185: Prelucrarea digitala a semnalelor

1

CAPITOLUL 1

CARACTERIZAREA SISTEMELOR DISCRETE, LINIARE, INVARIANTE ÎN TIMP ÎN

DOMENIUL FRECVENŢĂ Obiectul capitolului de fa]\ `l constituie caracterizarea sistemelor discrete, liniare, invariante în timp (SDLIT) `n domeniul frecven]\. Se va ar\ta c\ un astfel de sistem este caracterizat `n domeniul frecven]\ de transformata Fourier a r\spunsului s\u la impuls. Aceast\ caracterizare conduce la opinia conform c\reia un SDLIT ac]ioneaz\ ca un filtru asupra diferitelor componente de frecven]\ ale intr\rii. În acest demers, semnalele de excitaţie sunt exponenţialele complexe şi semnalele armonice. Caracterizarea SDLIT `n domeniul frecven]\ este realizată cu ajutorul unei func]ii de variabil\ ω , notată )(ωH şi numit\ r\spuns `n frecven]\, care este `n leg\tur\ cu func]ia de sistem )(zH [i r\spunsul la

impuls [ ]nh al sistemului [63]. R\spunsul `n frecven]\ caracterizeaz\ complet SDLIT [i permite determinarea r\spunsului sistemului la semnale de intrare care pot fi exprimate cu ajutorul semnalelor exponen]iale complexe [i armonice.

1.1. R\spunsul SDLIT la semnale exponen]iale complexe [i armonice

R\spunsul oric\rui SDLIT la un semnal de intrare arbitrar ][nx este dat de suma de convolu]ie [63]

[ ] [ ] [ ]∑∞

−∞=

−=k

knxkhny (1.1)

~n aceast\ rela]ie sistemul este caracterizat `n domeniul timp de r\spunsul la impuls [ ] Znnh ∈, .

Page 186: Prelucrarea digitala a semnalelor

2

Se presupune c\ sistemul este excitat de semnalul exponen]ial complex

ZneAnx nj ∈= ,][ 0ω (1.2)

unde A este amplitudinea [i ω0, frecven]a unghiulară a semnalului discret de intrare din intervalul fundamental [ ]ππ ,− . ~nlocuind (1.2) `n (1.1), se ob]ine

[ ] [ ] ( )[ ] [ ] nj

k

kj

k

knj eekhAeAkhny 000 ωωω

== ∑∑

−∞=

−∞

−∞=

− (1.3)

Termenul din parantez\ din rela]ia (1.3) este transformata Fourier )(ωH

a r\spunsului la impuls [ ]kh al sistemului

[ ]∑∞

−∞=

−=k

kjekhH ωω)( (1.4)

evaluată la frecvenţa unghiulară ω0, a semnalului de intrare, adică

[ ]∑∞

−∞=

−=k

kjekhH 0)( 0ωω (1.4’)

Func]ia H(ω) exist\ dac\ sistemul este stabil `n sens MIME

(Mărginit la Intrare Mărginit la iEşire) [63], adic\ dac\ [ ] ∞<∑∞

−∞=nnh .

M\rimea H(ω) poate fi, de asemenea, v\zut\ ca transformata Z a răspunsului la impuls [ ]nh evaluată pe cercul unitate, dacă aceasta nu are poli pe cercul unitate [63]. Cu (1.4’), r\spunsul sistemului la o exponen]ial\ complex\ este

[ ] njeHAny 0)( 0ωω= (1.5)

Din (1.5) se observă că răspunsul este, de asemenea, o exponen]ial\ complex\, de aceea[i frecven]\ cu a intr\rii, dar diferit\ fa]\ de semnalul de intrare printr-un factor de multiplicare, H(ω0). Ca urmare a acestei caracteristici, semnalul de intrare (1.2) se nume[te func]ie proprie a sistemului. Cu alte cuvinte, o func]ie proprie a unui sistem este un semnal de intrare care produce o ie[ire ce difer\ de intrare printr-un factor de multiplicare constant. Factorul de multiplicare se nume[te valoare proprie a sistemului. ~n acest caz, un semnal exponen]ial complex de forma (1.2) este o func]ie proprie a unui SDLIT [i H(ω) evaluat\ la frecven]a semnalului de intrare este valoarea proprie corespunz\toare.

Exemplul 1.1. S\ se determine secven]a de ie[ire a sistemului care are r\spunsul

la impuls

Page 187: Prelucrarea digitala a semnalelor

3

[ ] ( ) [ ]nunh n21= (1.6)

dac\ semnalul de intrare este [ ] ZneAnx nj ∈= ,2/π . Solu]ie.

[ ] ωωωω j

n

njn

n

nj

eeenhH −

=

−∞

−∞=

−=

== ∑∑

21

0 11

21)( (1.7)

La 2/πω = , (1.7) devine

( ) °−=+

= 6,26

212 5

21

1 jej

H π

[i secven]a de ie[ire este

[ ] ( ) ZneAeeAny njnjj ∈=

= °−°− ,

52

52 6,26226,26 ππ (1.8)

Se observ\ c\ singurul efect al sistemului asupra semnalului de intrare const\ `n scalarea amplitudinii cu 52 [i defazarea cu –26,6°. Semnalul de ie[ire este, deci, o exponen]ial\ complex\ de frecven]\ π/2,

aceeaşi cu a semnalului de intrare, amplitudine 52A [i faz\ –26,6°. Dac\ se modific\ frecven]a semnalului de intrare, se schimb\

efectul sistemului asupra intr\rii [i, implicit, ie[irea. De exemplu, dac\ semnalul de intrare este o exponen]ial\ complex\ de frecven]\ π, adic\

[ ] njeAnx π= (1.9) atunci, la πω = ,

32

11)(

21

=−

= − ππ jeH

[i ie[irea este [ ] ZneAny nj ∈= ,3

2 π (1.10)

Se observ\ c\ )(πH este real, deci ie[irea este intrarea scalat\ cu

32)( =πH [i nedefazat\.

~n general, H(ω) este o func]ie complex\ de variabil\ ω, care poate fi exprimat\ `n coordonate polare, sub forma

)()()( ωθωω jeHH = (1.11)

Trecerile prin zero ale funcţiei de transfer conduc la salturi de fază de π radiani, aşa încât )(ωθ are discontinuităţi în acele puncte. Din acest motiv, răspunsul în frecvenţă se mai exprimă sub forma

)()()(,)()()( )()( ωϕωωθωωω ωϕωθ +∠=== Rj

Rj HeHeHH (1.11’)

Page 188: Prelucrarea digitala a semnalelor

4

Deoarece H(ω) caracterizeaz\ r\spunsul sistemului `n domeniul frecven]\, acesta se nume[te r\spunsul `n frecven]\ al sistemului. M\rimea )(ωH se nume[te r\spunsul de amplitudine sau de

modul [i este modulul transformatei Fourier a r\spunsului la impuls, iar θ(ω) = ∠H(ω) se nume[te r\spuns de faz\ [i este faza asociat\ transformatei Fourier H(ω) a r\spunsului la impuls. Uneori, transformata Fourier mai este cunoscut\ sub numele de spectru Fourier sau, mai simplu, spectru, motiv pentru care se mai `ntâlne[te terminologia de spectru de amplitudine sau de modul pentru a face referire la )(ωH [i spectru de faz\ pentru θ(ω).

Uneori modulul este reprezentat logaritmic sub forma

2

1010 )(log10)(log20)( ωωω HHHdB

== (1.12)

Faza θ(ω) din rela]ia (1.11) nu este unic determinat\, deoarece prin ad\ugarea oric\rui multiplu `ntreg de 2π la θ(ω), valoarea exponen]ialei complexe nu se modific\. Se define[te valoarea principal\ a lui θ(ω), notat\ cu ARG[H(ω)], cea cuprins\ `n domeniul fundamental de valori ],[ ππ− . Dacă faza depăşeşte acest interval, datorită periodicităţii de 2π a acesteia, este necesar un salt de π2± pentru a o aduce înapoi în intervalul fundamental. ~n unele situa]ii este `ns\ util a considera faza ca o func]ie continu\ de ω, numit\ func]ie total\ de faz\, pentru πω <≤0 [i se va nota cu Arg[H(ω)]. Aceasta se poate determina din valoarea principal\, prin ad\ugarea sau sc\derea valorii de 2π radiani în punctele de discontinutate, dup\ cum se arat\ în figura 1.1. Aceast\ procedur\ se nume[te de desf\[urare a fazei.

Figura 1.1. (a) Valoarea principal\ a fazei unui sistem, (b) Func]ia total\ de faz\

Page 189: Prelucrarea digitala a semnalelor

5

πωπ ≤≤− )]([HARG (1.13) )(2)]([)( ωπωω rHARGArg += (1.14)

unde r(ω) este un `ntreg care poate fi diferit la diverse valori ale lui ω . Dac\ `n calculul r\spunsului de faz\ se folose[te valoarea principal\, atunci aceasta va fi o func]ie discontinu\. Discontinuit\]ile introduse de considerarea valorii principale vor consta `n salturi de 2π radiani. O proprietate important\ a lui H(ω) este c\ aceast\ func]ie este periodic\, de perioad\ 2π, ceea ce se observ\ din relaţia (1.4).

)()2( ωπω HmH =+ , unde m este `ntreg oarecare. Rela]ia (1.4) este dezvoltarea `n serie Fourier a lui H(ω), [ ]kh fiind coeficien]ii dezvolt\rii. ~n consecin]\, r\spunsul la

impuls [ ]kh se ob]ine cu rela]ia [63]

[ ] ∫−=π

π

ωπ ωω d)(21 kjeHkh (1.15)

în care integrarea s-a efectuat pe intervalul fundamental pentru frecvenţa unghiulară discretă ],[ ππ− . Pentru un SDLIT al c\rui r\spuns la impuls este real, modulul [i faza lui H(ω) au propriet\]i de simetrie, dup\ cum urmeaz\:

[ ] [ ] [ ] =−== ∑∑∑∞

−∞=

−∞=

−∞=

kkk

kj kkhjkkhekhH ωωω ω sincos)(

[ ])()(arctg22 )()()()( ωωωωωω RI HHjIRIR eHHHjH +=+= (1.16)

unde )(ωRH [i )(ωIH reprezint\ componenta real\, respectiv imaginar\ a lui )(ωH , adic\

∑∞

−∞=

−∞=

−=

=

kI

kR

knhH

knhH

ωω

ωω

sin][)(

cos][)( (1.17)

Se observ\ c\ )()( ωω −= RR HH (1.18)

[i )()( ωω −−= II HH (1.19)

adic\ )(ωRH este o func]ie par\, iar )(ωIH este impar\. Drept urmare,

)(ωH este o func]ie par\, iar )()(arctg)(

ωωωθ

R

I

HH

= este o func]ie impar\.

Page 190: Prelucrarea digitala a semnalelor

6

Cu alte cuvinte, dac\ se cunoa[te )(ωH [i )(ωθ pentru

πω ≤≤0 , atunci se cunosc aceste func]ii [i pentru 0≤≤− ωπ . Propriet\]ile de simetrie satisf\cute de modulul [i faza lui )(ωH [i faptul c\ un semnal armonic poate fi exprimat ca suma sau diferen]a a dou\ func]ii exponen]iale complexe scalate corespunzător determin\ ca r\spunsul unui SDLIT la un semnal armonic s\ fie similar cu r\spunsul sistemului la o exponen]ial\ complex\. ~ntr-adev\r, dac\ intrarea este

[ ] njeAnx ω=1 (1.20) ie[irea este

[ ] njj eeHAny ωωθω )(1 )(= (1.21)

Dac\ intrarea este [ ] njeAnx ω−=2 (1.22)

ie[irea este [ ] njjnjj eeHAeeHAny ωωθωωθ ωω −−−− =−= )()(

2 )()( (1.23)

Aplicându-se proprietatea de liniaritate pentru SDLIT [63], se poate determina r\spunsul sistemului la semnalul de intrare

[ ] [ ] [ ]( ) nAnxnxnx ωcos21

21 =+= (1.24)

[ ] [ ] [ ]( ) [ ])(cos)(21

21 ωθωω +=+= nHAnynyny (1.25)

Similar, dac\

[ ] [ ] [ ]( ) nAnxnxj

nx ωsin21

21 =−= (1.26)

r\spunsul sistemului este

[ ] [ ] [ ]( ) [ ])(sin)(21

21 ωθωω +=−= nHAnynyj

ny (1.27)

Din cele prezentate pân\ acum se observ\ c\ H(ω) sau, echivalent, )(ωH [i )(ωθ caracterizeaz\ complet efectul sistemului asupra

semnalului de intrare armonic, de frecven]\ arbitrar\. Dac\ semnalul de intrare este compus din mai multe componente armonice, r\spunsul sistemului se ob]ine cu ajutorul propriet\]ii de superpozi]ie a sistemelor liniare.

Page 191: Prelucrarea digitala a semnalelor

7

1.2. R\spunsul de regim permanent [i tranzitoriu al sistemelor discrete, liniare, invariante în timp la semnale de intrare armonice

Pentru a evidenţia răspunsurile de regim permanent şi tranzitoriu, se consider\ un sistem descris de o ecua]ie cu diferen]e de ordinul `ntâi, de forma

[ ] [ ] [ ]nxnyany +−= 1 (1.28) Cunoscută fiind condiţia iniţială ]1[−y pentru sistem, răspunsul acestuia la o intrare ][nx aplicată la 0=n se poate determina recursiv pentru 0≥n , ca fiind

[ ] [ ] [ ] 0,10

1 ≥−+−= ∑=

+ nknxayanyn

k

kn (1.29)

Se presupune c\ semnalul de intrare este exponen]iala complex\ [ ] 0, ≥= neAnx njω (1.30)

care se aplic\ la momentul 0=n . ~nlocuind (1.30) `n (1.29) se ob]ine

[ ] [ ] [ ] ( )

[ ] 0,11

1

11

)1(11

0

1

0

)(1

≥−

+−

−−=

=

+−=+−=

−−

+−++

=

−+

=

−+ ∑∑

neea

Aeea

eAaya

eeaAyaeaAyany

njj

njj

njnn

njn

k

kjnn

k

knjkn

ωω

ωω

ω

ωωω

(1.31)

R\spunsul sistemului este format din r\spunsul tranzitoriu [i r\spunsul permanent. Sistemul descris de (1.28) este stabil în sens MIME, dacă 1<a [63]. Răspunsul de regim permanent este cel înregistrat la un timp suficient de mare după aplicarea semnalului de intrare şi se obţine ca limită din ][ny , pentru n tinzând la infinit. În acest caz, termenii care

con]in pe 1+na din (1.31) tind la zero [i, `n consecin]\, r\spunsul de regim permanent este

[ ] njnjjss eHAe

eaAny ωω

ω ω)(1

=−

= − (1.32)

Se observă că răspunsul de regim permanent este determinat de sistem, prin )(ωH şi semnalul de intrare, njeA ω , ne-depinzând de condiţia iniţială. Primii doi termeni din (1.31) reprezint\ r\spunsul tranzitoriu al sistemului, adic\

Page 192: Prelucrarea digitala a semnalelor

8

[ ] [ ] 0,1

1)1(1

1 ≥−

−−= −

+−++ ne

eaeaAyany nj

j

njnn

trω

ω

ω

(1.33)

care descreşte la zero pentru n tinzând la infinit. Primul termen al r\spunsului tranzitoriu este r\spunsul de intrare zero al sistemului, `n timp ce al doilea termen se datoreaz\ semnalului exponen]ial de intrare. Se observă că răspunsul de regim tranzitoriu este determinat de sistem, prin parametrul a, semnalul de intrare, njeA ω , şi condiţia iniţială ]1[−y . ~n general, toate sistemele stabile `n sens MIME se comport\ similar atunci când sunt excitate cu exponen]iale complexe sau semnale armonice la un moment oarecare de timp finit, adic\ r\spunsul tranzitoriu tinde la zero, r\mânând numai r\spunsul de regim permanent.

1.3. R\spunsul de regim permanent al SDLIT la semnale de intrare periodice

Se presupune c\ intrarea unui SDLIT stabil este un semnal periodic [ ]nx , de perioad\ fundamental\ N. Atât timp cât un astfel de semnal exist\ pentru ∞<<∞− n , r\spunsul total al sistemului la orice moment n, finit, este r\spunsul de regim permanent. Pentru determinarea r\spunsului [ ]ny al sistemului, se reprezint\ semnalul de intrare periodic `n serie Fourier [35]

[ ] 1...,1,0,1

0

2 −== ∑−

=Nnecnx

N

k

Nnkjk

π (1.34)

unde kc sunt coeficien]ii seriei Fourier. R\spunsul sistemului la semnalul exponen]ial complex

[ ] 1...,1,0,2 −== Nkecnx Nnkjkk

π (1.35) este

[ ] 1...,1,0,2 2 −=

= Nkek

NHcny Nnkj

kkππ

(1.36)

unde 1...,1,0,)(22

−==

=NkH

NkH

Nkπωωπ

(1.37)

Folosind principiul superpozi]iei, se ob]ine r\spunsul sistemului la semnalul periodic [ ]nx ,

[ ] 10,21

0

2 −≤≤

= ∑

=Nne

NkHcny

N

k

Nnkjk

ππ (1.38)

Page 193: Prelucrarea digitala a semnalelor

9

Acest rezultat implic\ faptul c\ [i r\spunsul sistemului la semnalul de intrare periodic [ ]nx este, de asemenea, periodic, de aceea[i perioad\ N. Coeficien]ii seriei Fourier pentru [ ]ny sunt

1...,1,0,2−=

= Nk

NkHcd kkπ

(1.39)

~n concluzie, sistemul liniar poate modifica forma semnalului periodic de intrare prin scalarea amplitudinii, poate defaza componentele seriei Fourier, dar nu afecteaz\ perioada semnalului de intrare.

1.4. Răspunsul SDLIT la semnale de intrare aperiodice

~n continuare, se pune problema determin\rii r\spunsului sistemelor discrete, liniare, invariante `n timp la semnale aperiodice de energie finit\, demers `n care va fi folosit\ transformata Fourier pentru semnale discrete. R\spunsul unui SDLIT relaxat (care are condiţii iniţiale nule) la un semnal de intrare ][nx este dat de suma de convolu]ie dintre semnalul de intrare [i r\spunsul la impuls al sistemului

[ ] [ ] [ ]∑∞

−∞=−=

kknxkhny (1.40)

Aplicând transformata Fourier rela]iei (1.40), se ob]ine )()()( ωωω XHY ⋅= (1.41)

Rela]ia (1.41) caracterizeaz\ sistemul `n domeniul frecven]\, ar\tând c\ spectrul semnalului de la ie[ire este egal cu spectrul semnalului de intrare multiplicat cu r\spunsul `n frecven]\ al sistemului. Rela]ia (1.41) poate fi scris\ `n form\ polar\

[ ])()()()( )()()()()( ωθωθωθωθ ωωωωω hxxh jjj eXHeXeHY +== (1.42)

~n consecin]\, modulul [i faza răspunsului Y(ω) se determin\ cu rela]iile

)()()( ωωω XHY = (1.43)

[i )()()( ωθωθωθ hxy += (1.44)

Semnalul de intrare aperiodic, de energie finit\ are spectrul continuu, iar sistemul discret, liniar, invariant în timp, prin r\spunsul său `n frecven]\, atenueaz\ sau amplific\ unele componente ale semnalului de

Page 194: Prelucrarea digitala a semnalelor

10

intrare. Din alura lui )(ωH se observ\ care componente de frecven]\

sunt atenuate [i care amplificate. Faza lui H(ω) indic\ defazajul pe care `l sufer\ componentele semnalului de intrare. De asemenea, se observ\ c\ ie[irea unui SDLIT stabil nu poate con]ine componente de frecven]\ care nu sunt con]inute `n semnalul de intrare, adic\ sistemul nu poate crea componente noi de frecven]\. ~n figura 1.2 este reprezentat schematic un SDLIT, care este descris de suma de convolu]ie `n domeniul timp, de func]ia de sistem H(z) sau de r\spunsul `n frecven]\ H(ω).

Figura 1.2. Rela]ii intrare – ie[ire pentru un SDLIT relaxat,

`n domeniile timp, Z [i frecven]\

Dac\ pentru un astfel de sistem se cunoa[te ie[irea Y(ω) `n domeniul frecven]\, r\spunsul sistemului `n domeniul timp se determin\ cu rela]ia [63]

[ ] ∫−=π

πω ωω

πd)(

21 njeYny , (1.45)

integrarea efectuându-se pe domeniul fundamental al frecvenţelor unghiulare discrete. Din (1.43) se ob]ine

222 )()()( ωωω XHY = (1.46)

sau, echivalent

)()()( 2 ωωω xxyy SHS = (1.47) unde )(ωyyS [i )(ωxxS reprezint\ densitatea spectrală de energie al

semnalelor [ ]ny , respectiv [ ]nx , definite de relaţiile [34]. 2)()( ωω YS yy = (1.48) 2)()( ωω XS xx = (1.48’)

Integrând relaţia (1.47) pe domeniul fundamental de frecvenţă, se obţine energia semnalului de ie[ire, de forma

Page 195: Prelucrarea digitala a semnalelor

11

∫∫ −−==

π

π

π

πωωω

πωω

πd)()(

21d)(

21 22

xxy SHYE (1.49)

R\spunsul la impuls a L SDLIT conectate `n paralel este dat de [63]

[ ] [ ]∑=

=L

kk nhnh

1 (1.50)

unde [ ]nhk , k = 1, ... L, este r\spunsul la impuls al sistemelor individuale. Folosind proprietatea de liniaritate a transformatei Fourier, se g\se[te r\spunsul `n frecven]\ al sistemului echivalent

∑=

=L

kkHH

1)()( ωω (1.51)

unde )(ωkH este r\spunsul `n frecven]\ corespunz\tor sistemului cu

r\spunsul la impuls [ ]nhk .

Dac\ cele L SDLIT sunt conectate `n cascad\, r\spunsul la impuls al sistemului echivalent este

[ ] [ ] [ ] [ ]nhnhnhnh L∗∗∗= …21 (1.52) Aplicând transformata Fourier expresiei (1.52), se ob]ine

)()()()( 21 ωωωω LHHHH ⋅⋅⋅= … (1.53) Figura 1.3 ilustreaz\ interconectarea `n paralel [i `n serie a dou\ SDLIT.

Figura 1.3. Conectarea SDLIT `n (a) paralel [i (b) cascad\

Page 196: Prelucrarea digitala a semnalelor

12

1.5. Rela]ia `ntre func]ia de sistem [i r\spunsul `n frecven]\ al sistemului Dac\ func]ia de sistem H(z) converge pe cercul unitate, se poate

ob]ine r\spunsul `n frecven]\ al sistemului prin evaluarea lui H(z) pe cercul unitate [63].

nj

nez

enhzHH jω

ωω −∞

−∞== ∑== ][)()( (1.54)

Pentru cazul `n care H(z) este o func]ie ra]ional\, de forma

=

=

=

=

−=

+== N

kk

M

kk

N

k

kk

M

k

kk

zp

zzb

za

zb

zAzBzH

1

1

1

1

0

1

0

)1(

)1(

1)()()( (1.54’)

rezult\

=

=

=

=

−=

+= N

k

jk

M

k

jk

N

k

kjk

M

k

kjk

ep

ezb

ea

ebH

1

10

1

0

)1(

)1(

1)(

ω

ω

ω

ω

ω (1.54”)

unde coeficienţii kk ba şi sunt reali, iar kk pz şi pot fi m\rimi reale

şi/sau complexe. Uneori este convenabil a se exprima p\tratul modulului lui H(ω) `n func]ie de H(z).

)()()( *2 ωωω HHH = (1.55)

unde )(* ωH este mărimea complex conjugată a lui )(ωH . Dac\ H(ω) se exprim\ prin (1.54''), rezult\

=

=

−= N

k

jk

M

k

jk

ep

ezbH

1

*

1

*

0*

)1(

)1()(

ω

ω

ω , (1.56)

adic\ H*(ω) se ob]ine din evaluarea lui H*(1/z*)

=

=

−= N

kk

M

kk

zp

zzbzH

1

*

1

*

0**

)1(

)1()/1( (1.56’)

pe cercul unitate.

Page 197: Prelucrarea digitala a semnalelor

13

Dac\ ][ nh este real sau, echivalent, coeficien]ii kk ba şi sunt

reali, polii [i zerourile complexe apar `n perechi conjugate [i H*(1/z*)=H(z-1). ~n consecin]\, H*(ω) = H(-ω) [i

ωωωωωω jezzHzHHHHHH

=

−=−== )()()()()()()( 1*2 (1.57)

Conform teoremei de corela]ie pentru transformata Z [63], produsul H(z)H(z-1) este transformata Z a func]iei de autocorela]ie a secven]ei ][mrhh a r\spunsului la impuls. Conform teoremei Wiener

Hincin [34], rezult\ c\ 2)(ωH este transformata Fourier a lui ][mrhh .

Similar, dacă )(/)()( zAzBzH = , expresiile )()()( 1−= zBzBzD şi )()()( 1−= zAzAzC sunt transformatele Z ale secvenţelor de autocorelaţie

lc şi, respectiv, ld , unde

MlMbbd

NlNaac

lM

klkkl

lN

klkkl

≤≤−=

≤≤−=

∑−

=+

=+

,

,

0

0 (1.58)

Deoarece parametrii sistemului ka şi kb sunt reali, secvenţele de autocorelaţie sunt pare, adică ll cc −= şi ll dd −= , ceea ce permite

exprimarea expresiei 2)(ωH ca o funcţie polinomială în ωcos :

=

=

+

+= N

kk

M

kk

kcc

kddH

10

10

2

cos

cos)(

ω

ωω (1.59)

ţinându-se cont că ∑=

=k

m

mmk

0)(coscos ωβω .

Se noteaz\

=

=

−−

−−== N

kkk

M

kkk

zpzp

zzzzbzHzHzC

1

*1

1

*1

20

**

)1)(1(

)1)(1()/1()()( (1.60)

Dac\ se cunoa[te 2)(ωH , `nlocuind ωje cu z se ob]ine C(z). Se

pune problema ce informa]ie se poate ob]ine din C(z) despre H(z). Se

Page 198: Prelucrarea digitala a semnalelor

14

observ\ c\ pentru fiecare pol pk al lui H(z), `n C(z) exist\ un pol pk [i unul (pk

*)-1. Similar, pentru fiecare zerou zk al lui H(z), exist\ o pereche de zerouri `n C(z) la zk [i (zk

*)-1. ~n consecin]\, polii [i zerourile lui C(z) apar `n perechi conjugate reciproce, cu un element din fiecare pereche asociat lui H(z) [i unul lui H*(1/z*). Mai mult, dac\ un element din fiecare pereche este `n interiorul cercului unitate, atunci cel\lalt (conjugatul inversat) va fi `n afara cercului unitate. Singura alt\ posibilitate ar fi ca ambele singularităţi s\ fie pe cercul unitate, caz în care acestea au ordin de multiplicitate dublu `n aceea[i pozi]ie. Dac\ H(z) caracterizeaz\ un sistem stabil, atunci to]i polii s\i trebuie s\ fie `n interiorul cercului unitate, restric]ie care permite identificarea polilor lui H(z) dintre polii lui C(z). Numai cu aceast\ precizare, zerourile lui H(z) nu pot fi unic determinate dintre zerourile lui C(z).

Exemplul 1.2. Diagrama poli zerouri pentru C(z) este dat\ `n figura 1.4. S\ se

determine polii [i zerourile asociate lui H(z).

Figura 1.4. Diagrama poli - zerouri pentru un C(z) dat

Soluţie. Perechile conjugate reciproce de poli [i zerouri pentru

care un element este asociat lui H(z) [i unul lui H*(1/z*) sunt: (p1, p4) (p2, p5), (p3, p6) [i (z1, z4) (z2, z5), (z3, z6). tiind c\ H(z) corespunde unui sistem stabil [i cauzal, polii se aleg din fiecare pereche astfel `ncât s\ fie `n interiorul cercului unitate. Asupra zerourilor nu se fac astfel de

Page 199: Prelucrarea digitala a semnalelor

15

restric]ii. Oricum, în cazul în care coeficien]ii kk ba şi sunt reali, polii

[i zerourile sunt reali [i/sau complex conjuga]i. ~n consecin]\, zerourile asociate lui H(z) sunt z3 sau z6 [i (z1, z2) sau (z4, z5). Cu considera]iile de mai sus, se observ\ c\, pentru exemplul considerat, exist\ patru sisteme cauzale, stabile, diferite cu trei poli [i trei zerouri pentru care diagrama poli - zerouri a lui C(z) este cea din figura 1.4 [i, echivalent, pentru care r\spunsul de amplitudine este acela[i. Dac\ kk ba şi nu s-ar fi presupus reali, num\rul de variante ar fi fost mai mare. Mai mult, dac\ nu se fac restric]ii asupra num\rului de poli [i zerouri pentru H(z), num\rul de variante pentru H(z) ar putea fi nelimitat. Pentru a ar\ta aceasta, se

presupune c\ H(z) are un factor de forma 1

*1

1 −

−−az

az, adic\

1

*1

1 1)()( −

−−

=az

azzHzH . Factorul de aceast\ form\ se nume[te factor trece

tot, deoarece are r\spunsul `n amplitudine egal cu unitatea pe cercul unitate. ~n aceste condi]ii

)/1()(1

)/1(1

)()/1()()(

**11

***

11

*1

1**

zHzHza

azzHaz

azzHzHzHzC

=

=−−

−−

== −

(1.61)

adic\ factorul trece tot se anuleaz\ `n C(z) [i prin urmare, nu poate fi identificat din diagrama poli zerouri a lui C(z). ~n concluzie, dac\ num\rul polilor [i zerourilor lui H(z) este nespecificat, atunci pentru C(z) dat, orice alegere arbitrar\ a lui H(z) poate fi cascadat\ cu un num\r arbitrar de factori trece tot, cu polii `n interiorul cercului unitate ( 1<a ).

1.6. Calculul r\spunsului `n frecven]\ al sistemelor discrete, liniare, invariante în timp R\spunsul `n frecven]\ H(ω) al unui SDLIT poate fi calculat cu ajutorul transformatei Fourier a r\spunsului la impuls al sistemului, ca în relaţia (1.54).

Dac\ sistemul este caracterizat de o ecua]ie cu diferen]e cu coeficien]i constan]i, de forma

[ ] [ ] [ ]∑∑==

−+−−=M

kk

N

kk knxbknyany

01 (1.62)

r\spunsul `n frecven]\ se poate ob]ine prin evaluarea lui H(z) dat de (1.54) pe cercul unitate, dac\ H(z) este convergent pe cercul unitate,

Page 200: Prelucrarea digitala a semnalelor

16

=

=

+= N

k

kjk

M

k

kjk

ea

ebH

1

0

1)(

ω

ω

ω (1.63)

Din (1.63) se observ\ c\ r\spunsul `n frecven]\ H(ω) al sistemului caracterizat de (1.62) depinde numai de coeficien]ii ka [i kb . Din (1.63) deriv\ dou\ cazuri particulare:

a) Dac\ 0=ka , Nk ...,2,1= , rela]ia (1.63) se reduce la

∑=

−=M

k

kjk ebH

0)( ωω , (1.64)

sistemul fiind cu r\spuns finit la impuls (FIR) [63]. Comparând (1.53) cu (1.64) rezult\ c\ `n cazul sistemelor FIR exist\ rela]ia

[ ] =

=restîn,0

...,1,0, Mnbnh n (1.65)

b) Dac\ Mkbk ...,1,0 == , [i 00 ≠b , sistemul este pur recursiv, cu

răspuns infinit la impuls (IIR) şi rela]ia (1.63) devine

∑=

−+= N

k

kjk ea

bH

1

0

1)(

ωω (1.66)

O metod\ alternativ\ de evaluare a r\spunsului `n frecven]\ al unui SDLIT, dat de (1.63) este metoda geometric\. Pentru explicarea acestei metode se noteaz\ cu z1, z2, …, zM zerourile [i cu p1, p2, …, pN polii sistemului liniar invariant `n timp. Cu aceste nota]ii, (1.63) devine

( ) ( )( ) ( )( )( ) ( )N

jjjM

jjjMNj

pepepezezezeeGH

−−−−−−

⋅= −ωωω

ωωωωω

……

21

21)( (1.67)

unde G=b0 este câ[tigul sistemului. ~n continuare, se exprim\ fiecare factor din (1.67) `n form\ polar\

)()( ωθω ω kjkk

j eVze =− (1.68)

[i )()( ωω ω kjkk

j eUpe Φ=− (1.69)

unde ( )kj

kkj

k zezeV −∠≡−≡ ωω ωθω )(,)( (1.70)

[i ( )kj

kkj

k pepeU −∠≡Φ−≡ ωω ωω )(,)( (1.71)

Modulul lui H(ω) se ob]ine atunci cu rela]ia

Page 201: Prelucrarea digitala a semnalelor

17

)()()()()()()(

21

21

ωωωωωω

ωN

M

UUUVVVGH

……

= (1.72)

Acesta se mai poate calcula `n decibeli, cu relaţia

∑∑==

−+=N

kk

M

kk UVGH

110

11010dB

)(log20)(log20log20)( ωωω (1.73)

Faza lui H(ω) este ( )

[ ])()()()()()()(

21

21

ωωωωθωθωθωω

N

MMNGHΦ++Φ+Φ−

−++++−+∠=∠…

… (1.74)

Faza termenului de câ[tig G este 0 sau π, dup\ cum G este pozitiv sau negativ. ~n concluzie, dac\ se cunosc zerourile [i polii func]iei de sistem H(z), se poate evalua r\spunsul `n frecven]\ cu ajutorul rela]iilor (1.72) [i (1.74). Interpretarea geometric\ a m\rimilor din rela]iile (1.72) [i (1.74) rezult\ considerând polul pk [i zeroul zk plasa]i `n punctele A [i B ale planului z, ca `n figura 1.5a.

Figura 1.5. Interpretarea geometric\ a contribu]iei unui pol [i a unui zerou

Fie L punctul de pe cercul unitate corespunz\tor frecven]ei unghiulare ω. Fie, de asemenea, vectorii AL [i BL cu originea `n pol, respectiv `n zerou [i extremitatea `n punctul L. Din figura 1.5a rezult\

ALCACL += (1.75)

BLCBCL += (1.76)

Dar ωjeCL = , kpCA = [i kzCB = , deci

kj peAL −= ω (1.77)

[i kj zeBL −= ω (1.78)

Page 202: Prelucrarea digitala a semnalelor

18

Combinând rela]iile (1.77) [i (1.78) cu (1.68) [i (1.69), rezult\ )()( ωω ω kj

kkj eUpeAL Φ=−= (1.79)

)()( ωθω ω kjkk

j eVzeBL =−= (1.80)

Modulul )(ωkU este lungimea segmentului AL, adic\ distan]a de

la polul kp la punctul L, corespunz\tor lui ωje , `n timp ce modulul )(ωkV

este distan]a de la zeroul zk la punctul L. Fazele )(ωkΦ [i )(ωθ k sunt unghiurile vectorilor AL [i BL cu axa

real\ pozitiv\, a[a cum este ilustrat `n figura 1.5b. Aceast\ interpretarea geometric\ este util\ pentru c\ pune `n eviden]\ influen]a pozi]iei polilor [i zerourilor asupra func]iei de transfer a SDLIT. Dac\, de exemplu, un zerou kz [i un pol kp sunt plasa]i pe cercul

unitate, ca `n figura 1.6, se observ\ c\ la kz∠=ω , )(ωkV este egal cu

zero [i, `n consecin]\, [i )(ωH devine zero. Similar, la kp∠=ω , )(ωkU

devine zero [i )(ωH , infinit. Evaluarea fazei `n aceste cazuri nu are sens.

Figura 1.6. Un zerou pe cercul unitate determin\ kzH ∠== ωω la0)( [i un pol pe

cercul unitate are ca rezultat kpH ∠=∞= ωω la)(

Din cele prezentate pân\ acum se desprind urm\toarele observa]ii: 1. Prezen]a unui zerou `n apropierea cercului unitate va determina ca

m\rimea modulului r\spunsului `n frecven]\, la frecven]e corespunz\toare punctelor de pe cercul unitate apropiate de acel punct, s\ fie mic, `n timp ce prezen]a unui pol `n apropierea cercului unitate va avea ca efect o valoare mare a modulului r\spunsului `n frecven]\, la frecven]e apropiate de acel punct. Polii [i zerourile au efecte contrare, astfel `ncât plasarea unui zerou `n apropierea unui pol `i atenueaz\ efectul, [i invers. Prin

Page 203: Prelucrarea digitala a semnalelor

19

plasarea polilor [i zerourilor se poate determina o varietate de forme pentru )(ωH [i )(ωH∠ , lucru exploatat `n proiectarea

filtrelor digitale. 2. Singularit\]ile din origine nu afecteaz\ r\spunsul de amplitudine,

ci numai pe cel de faz\. 3. R\spunsul de amplitudine este zero numai când func]ia de sistem

are un zero pe cercul unitate la frecven]a respectiv\. 4. Salturile de faz\ de π radiani se produc la fiecare trecere a

frecven]ei printr-un zerou aflat pe cercul unitate. Pentru a ar\ta acest lucru, se presupune c\ exist\ un zerou la 0ωjez = [i fie

εωω −=−00 [i εωω +=+

00 , pentru un 0>ε , suficient de mic,

situa]ie redat\ `n figura 1.7. Se observ\ c\ valoarea fazei la −= 0ωω este cu π radiani mai mic\ decât cea corespunz\toare lui += 0ωω . Dac\ `n 0ωjez = exist\ un zerou multiplu, de ordin M,

când ω trece de la −0ω la +

0ω faza va avea un salt de πM radiani.

Evident, dac\ M este un num\r par, saltul va fi un multiplu de π2 radiani, caz `n care, pentru valoarea principal\ a fazei nu se observ\ nici o schimbare.

5. Când ω variaz\ de la 0 la π faza generat\ de fiecare zerou plasat strict `n interiorul cercului unitate cre[te cu π radiani. Faza generat\ de fiecare pol plasat `n interiorul cercului unitate descre[te cu π radiani. Dac\ num\rul de astfel de zerouri este Nz [i de poli Np, cre[terea net\ de faz\, când ω variaz\ de la 0 la π, este de (Nz-Np)π.

Figura 1.7. Evaluarea r\spunsului de faz\ `n jurul unui zerou plasat pe cercul unitate

Page 204: Prelucrarea digitala a semnalelor

20

1.7. Sisteme discrete, liniare, invariante `n timp v\zute ca filtre selective de frecven]\

Un sistem liniar invariant `n timp poate realiza o discriminare sau

filtrare a diferitelor componente de frecven]\ a semnalului aplicat la intarea sa. Natura ac]iunii de filtrare este determinat\ de r\spunsul `n frecven]\ al filtrului )(ωH , care, la rândul s\u, depinde de alegerea

parametrilor sistemului ka [i kb . Prin alegerea adecvat\ a coeficien]ilor se pot proiecta filtre selective de frecven]\ care permit trecerea semnalelor cu spectrul `n anumite benzi [i atenueaz\ semnale ale c\ror componente de frecven]\ sunt `n alte benzi. ~n general, un sistem liniar invariant `n timp modific\ spectrul semnalului de intrare )(ωX , `n concordan]\ cu r\spunsul s\u `n frecven]\

)(ωH , pentru a produce ie[irea )()()( ωωω HXY = . ~n acest sens, )(ωH ac]ioneaz\ ca o func]ie de ponderare sau de formare spectral\ asupra diferitelor componente de frecven]\ ale semnalului. ~n acest context orice sistem liniar, invariant `n timp poate fi considerat ca un filtru care modific\ componentele de frecven]\ ale semnalului de la intrarea sa [i, `n consecin]\, cele dou\ no]iuni sunt sinonime. ~n prelucrarea numeric\ filtrarea este folosit\ `n multe scopuri, cum ar fi: atenuarea zgomotului, modificarea spectrului `n scopul egaliz\rii canalelor de comunica]ii, detec]ia semnalelor, analiz\ spectral\ e.t.c.

1.7.1. Caracteristicile filtrelor ideale

De obicei, filtrele sunt clasificate `n func]ie de caracteristicile lor `n domeniul frecven]\. Filtrele selective de frecvenţă pot fi trece jos (FTJ), trece sus (FTS), trece band\ (FTB), opre[te band\ (FOB), trece tot (FTT) şi multibandă. Modulul r\spunsului `n frecven]\ [i func]ia de transfer a filtrelor ideale enumerate mai sus sunt ar\tate `n figura 1.8. Aceste filtre ideale au câ[tig constant C (de obicei egal cu unitatea) `n benzile de trecere [i zero `n benzile de oprire. Pentru FTJ [i FTS, cω

reprezint\ frecven]a de t\iere, iar pentru FTB [i FOB, 1ω [i 2ω reprezint\ frecvenţele capetelor benzilor de trecere, respectiv de oprire [69]. O alt\ caracteristic\ a unui filtru ideal este caracteristica de faz\ liniar\. Fie un filtru digital cu funcţia de transfer

<<

=−

restîn,0,

)( 210 ωωω

ωωnjCeH (1.81)

Page 205: Prelucrarea digitala a semnalelor

21

unde C şi n0 sunt constante. Din compararea relaţiilor (1.81) cu (1.11), se pot scrie relaţiile:

CH =|)(| ω (1.82) [i

0)( nωωθ −= (1.83)

Din (1.83) rezultă că faza este o funcţie liniară de ω . Dacă la intrarea unui astfel de filtru se aplică semnalul ][nx ale c\rui componente

de frecven]\ sunt cuprinse `n domeniul 21 ωωω << , semnalul de ie[ire are spectrul

21,)()()()( 0 ωωωωωωω ω <<== − njeCXHXY (1.84) Aplicând transformata Fourier invers\ rela]iei (1.84), se ob]ine[63]

][][ 0nnCxny −= (1.85)

[i, `n consecin]\, ie[irea filtrului este o versiune scalat\ [i `ntârziat\ a intr\rii. ~ntârzierea [i scalarea nu sunt considerate distorsiuni ale semnalului.

Figura 1.8. Modulul r\spunsului `n frecven]\ pentru câteva filtre selective de frecven]\

ideale, discrete

Page 206: Prelucrarea digitala a semnalelor

22

Derivata fazei `n raport cu frecven]a define[te `ntârzierea de grup a filtrului

ωωθωτ

dd

g)()( −= (1.86)

Aceasta reprezint\ `ntârzierea pe care o component\ de frecven]\ ω a semnalului, o sufer\ la trecerea prin filtru. Dac\ )(ωθ este o func]ie

liniar\ `n ω , atunci constantng == 0)(ωτ , adic\, toate componentele de

frecven]\ ale semnalului sufer\ aceea[i `ntârziere. Orice abatere a r\spunsului `n frecven]\ de la forma ideal\ dat\ `n

(1.81) are ca rezultat distorsionarea semnalului. Dac\ modulul r\spunsului `n frecven]\ al sistemului variaz\ `n banda de frecven]e ocupat\ de semnal, atunci semnalul sufer\ distorsiuni de amplitudine. Dac\ r\spunsul de faz\ al sistemului nu este liniar `n banda de frecven]e a semnalului, atunci semnalul sufer\ distorsiuni de faz\.

În concluzie, filtrele ideale au caracteristica de modul constantă, iar cea de fază, liniară în banda de trecere. În toate cazurile astfel de filtre sunt nerealizabile fizic, dar servesc ca idealizare matematică pentru filtrele practice ale căror caracteristici le aproximează destul de fidel pe cele ideale.

De exemplu, filtrul ideal trece jos are răspunsul la impuls

∞<<∞−=

⋅=== ∫∫ −−

nn

n

dedeHHFnh

c

njnjlp

c

c

,sin

121)(

21)(][ 1

ππω

ωπ

ωωπ

ωω

ω

ωπ

π

ω

(1.87)

Acest filtru nu este cauzal si nici absolut sumabil şi, prin urmare, este instabil, nefiind realizabil. Cu toate acestea, caracteristicile ideale de frecvenţă pot fi aproximate destul de fidel de filtre reale, realizabile practic, după cum se va vedea în Capitolul 2.

1.8. Proiectarea filtrelor digitale prin plasarea polilor şi zerourilor în planul Z

În continuare se analizează posibilitatea proiectării unor filtre digitale simple (la care forma caracteristicii de amplitudine nu este riguros specificată), prin plasarea corespunzătoare a polilor şi zerourilor în planul Z. În paragraful 1.6 s-a descris modul în care poziţia polilor şi zerourilor faţă de cercul unitate afectează răspunsul în frecvenţă al sistemului şi s-a

Page 207: Prelucrarea digitala a semnalelor

23

prezentat o metodă grafică pentru calculul răspunsului în frecvenţă, cunoscută fiind diagrama poli-zerouri a sistemului. Principiul de bază care caracterizează metoda plasării polilor şi zerourilor în planul Z este de a plasa polii şi zerourile în apropierea punctelor de pe cercul unitate corespunzătoare frecvenţelor ce trebuie accentuate, respectiv atenuate sau suprimate. Mai mult, pentru un filtru cauzal trebuie îndeplinite următoarele condiţii: 1. Toţi polii trebuie să fie plasaţi în interiorul cercului unitate, pentru ca filtrul să fie stabil. 2. Polii şi zerourile complexe trebuie să apară în perechi conjugate, asigurându-se astfel coeficienţi reali pentru filtru. Se reaminteşte că funcţia de sistem )(zH a unui SDLIT poate fi exprimată sub forma

=

=

=

=

−=

+= N

kk

M

kk

N

k

kk

M

k

kk

zp

zzb

za

zbzH

1

1

1

1

0

1

0

)1(

)1(

1)( (1.88)

unde 0b este un factor de câştig, astfel ales, încât să rezulte 1|)(| 0 =ωH (1.89)

unde 0ω este o frecvenţă din banda de trecere a filtrului. În cazul sistemelor cauzale, gradul polinomului de la numitor (N) trebuie să fie mai mare sau cel mult egal cu gradul polinomului de la numărător (M), astfel încât filtrul să aibă mai mulţi poli nebanali decât zerouri [63]. Într-adevăr, dacă filtrul este cauzal, din teorema valorii iniţiale rezultă

)()(lim)(lim)(lim]0[

zAzBzHzHh

zzz ∞→∞→

+

∞→=== (1.90)

Dacă H+(z)=H(z) este o fracţie raţională, atunci gradul numărătorului, M, nu poate depăşi gradul numitorului, N, adică NM ≤ . Condiţia este mai puţin severă decât în cazul sistemelor analogice, unde

NM < (inegalitate strictă).

1.8.1. Filtre trece jos, trece sus şi trece bandă În proiectarea filtrelor trece jos digitale, polii trebuie plasaţi în

apropierea cercului unitate corespunzător frecvenţelor joase (în apropiere de 0=ω ), iar zerourile în apropiere sau pe cercul unitate în puncte

Page 208: Prelucrarea digitala a semnalelor

24

corespunzătoare frecvenţelor înalte (aproape de πω = ). Situaţia inversă este valabilă pentru filtrele trece sus. Figura 1.9 ilustrează diagramele poli – zerouri pentru trei FTJ şi pentru trei FTS.

Figura 1.9. Diagrama poli – zerouri pentru trei a) FTJ şi b) FTS, fiecare din acestea cu un pol real, doi poli complex conjugaţi şi, respectiv, un pol real, doi poli complex conjugaţi

şi un zerou nebanal

Modulul şi faza răspunsului filtrului cu un singur pol, cu funcţia de sistem

11 11)(

−−−

=az

azH (1.91)

sunt ilustrate în fig. 1.10, pentru 9,0=a . Caracteristicile amplitudine – frecvenţă şi fază – frecvenţă s-au obţinut prin evaluarea funcţiei de sistem

)(1 zH pe cercul unitate. Câştigul G s-a ales a−1 , astfel încât la 0=ω , 1|)0(| =H . Un zerou suplimentar la 1−=z va atenua răspunsul filtrului la frecvenţe înalte. Acest zerou determină funcţia de transfer

1

1

2 11

21)( −

−+−

=azzazH (1.92)

Caracteristicile de amplitudine şi fază sunt date tot în figura 1.10. Se observă că |)(| 2 ωH devine egal cu zero la πω = . Similar, se obţin FTS simple prin reflectarea poziţiei polilor şi zerourilor FTJ faţă de axa imaginară a planului Z, obţinându-se funcţia de sistem

Page 209: Prelucrarea digitala a semnalelor

25

1

1

3 11

21)( −

+−−

=azzazH (1.93)

pentru un FTS cu un pol şi un zerou. Caracteristicile de amplitudine şi fază pentru FTS sunt identice cu cele ale FTJ translate cu π radiani.

Figura 1.10. (a) Reprezentarea modulului şi (b) a fazei pentru un filtru cu un singur pol, )(1 zH , şi un filtru cu un pol şi un zerou )(2 zH

Exemplul 1.5.

Să se proiecteze un FTJ cu un pol dublu, astfel încât răspunsul în

frecvenţă să satisfacă condiţia H(0)=1 şi 21

4

2

=

πH .

Soluţie. Funcţia de sistem a filtrului este 210

)1()( −−=

pzbzH .

Trebuie determinaţi parametrii 0b şi p.

La 0=ω H(0)= 1)1( 2

0 =− pb

→ 20 )1( pb −=

La 4πω =

2

2

2

2

2

4

2

221

)1(

4sin

4cos1

)1(

1

)1(4

+−

−=

+

−=

−=

− jppp

jpp

p

pe

pHj ππ

ππ

Page 210: Prelucrarea digitala a semnalelor

26

deci 21

221

)1(2

22

4

=

+

pp

p → ppp 21)1(2 22 −+=− → p=0,32

Prin urmare 21 )32,01(46,0)( −−

=z

zH

Aceleaşi principii pot fi aplicate pentru proiectarea filtrelor trece bandă. FTB conţin una sau mai multe perechi de poli complex conjugaţi plasaţi în apropierea cercului unitate, la frecvenţe apropiate de banda de trecere a filtrului.

Exemplul 1.6. Să se proiecteze un filtru trece bandă cu doi poli, cu centrul benzii

de trecere la 2πω = , răspunsul în frecvenţă egal cu zero la 0=ω şi

πω = şi egal cu 2

1 la 9

4πω = .

Soluţie. Deoarece |)(| ωH este maxim la 2π , rezultă că polii

sistemului sunt 22,1

πjrep

±= .

Zerourile sunt 11 =z şi 12 −=z . În consecinţă, funcţia de transfer este

22

2 1))((

)1)(1()(rz

zGjrzjrz

zzGzH+−

=+−+−

=

Factorul de câştig se determină din evaluarea răspunsului în

frecvenţă )(ωH al filtrului la 2πω = .

2

111

22

2

2

rGr

GH −=→=

−=

π .

Valoarea lui r se determină prin evaluarea lui )(ωH la 9

4πω = .

( )21

)9/8cos(21)9/8cos(22

4)1(9/4 24

222 =

++−−

ππrr

rH , de unde 7,02 =r şi

Page 211: Prelucrarea digitala a semnalelor

27

2

2

7,01115,0)( −

+−

=z

zzH .

Modulul şi faza corespunzătoare răspunsului în frecvenţă sunt reprezentate în figura 1.11.

Figura 1.11. (a) Modulul şi (b) faza funcţiei de transfer a filtrului trece bandă din

exemplul 1.6.

Trebuie subliniat faptul că scopul principal al acestei metodologii de proiectare a filtrelor digitale simple prin plasarea polilor şi zerourilor este de a evidenţia efectul pe care îl au polii şi zerourile asupra răspunsului în frecvenţă al sistemelor, ea nefiind o metodă potrivită pentru proiectarea filtrelor digitale cu caracteristici bine definite.

1.8.1.1. O transformare simplă a FTJ în FTS

Presupunând că s-a proiectat un FTJ cu răspunsul la impuls ][nhlp , este posibilă conversia sa fie într-un FTB, fie FTS, cu ajutorul proprietăţii de translare de frecvenţă a transformatei Fourier [63]. În cele ce urmează, se prezintă o transformare simplă, care permite conversia unui FTJ într-un FTS, şi invers. Dacă se notează cu ][nhlp răspunsul la impuls al unui FTJ, care are răspunsul în frecvenţă )(ωlpH , se poate obţine un FTS prin translarea lui )(ωlpH cu π radiani, (adică înlocuirea lui ω cu πω − ).

)()( πωω −= lphp HH (1.94) unde hpH este răspunsul în frecvenţă al FTS. Deoarece translaţia de frecvenţă cu π a funcţiei de transfer echivalează cu multiplicarea răspunsului la impuls cu nje π , răspunsul la impuls al FTS rezultă de forma

Page 212: Prelucrarea digitala a semnalelor

28

][)1(][)(][ nhnhenh lpn

lpnj

hp −== π (1.95) Prin urmare, răspunsul la impuls al FTS se obţine din răspunsul la impuls al FTJ prin schimbarea semnului eşantioanelor impare ale lui

][nhlp . Evident, dacă este cunoscut răspunsul la impuls ][nhhp al FTS, răspunsul la impuls al FTJ se determină cu relaţia

][)1(][ nhnh hpn

lp −= (1.96) Dacă FTJ este descris de ecuaţia cu diferenţe

∑∑==

−+−−=M

kk

N

kk knxbknyany

01][][][ , (1.97)

răspunsul său în frecvenţă este

=

=

+= N

k

kjk

M

k

kjk

lp

ea

ebH

1

0

1)(

ω

ω

ω (1.98)

Înlocuind ω cu πω − în (1.98) se obţine funcţia de transfer a FTS

=

=

−+

−= N

k

kjk

k

M

k

kjk

k

hp

ea

ebH

1

0

)1(1

)1()(

ω

ω

ω (1.99)

care corespunde ecuaţiei cu diferenţe

∑∑==

−−+−−−=M

kk

kN

kk

k knxbknyany01

][)1(][)1(][ (1.100)

1.8.2. Rezonatoare digitale Rezonatorul digital este un filtru trece bandă, cu doi poli complex

conjugaţi plasaţi în apropierea cercului unitate, cum se arată în figura 1.12.a.

Numele de rezonator se referă la faptul că răspunsul de amplitudine are valoare mare în apropierea polilor. Poziţia unghiulară a polilor determină frecvenţa de rezonanţă. În proiectarea unui rezonator digital cu un maxim de rezonanţă la sau în apropiere de ω=ω0, se alege perechea de poli complex conjugaţi 0

2,1ωjrep ±= , 0 < r < 1. În plus, se

selectează două zerouri. Deşi există multe posibilităţi de alegere a poziţiei zerourilor, două cazuri prezintă interes mai special. Unul se referă la

Page 213: Prelucrarea digitala a semnalelor

29

plasarea zerourilor în origine şi celălalt la plasarea zerourilor la z = 1 şi z = -1. În acest ultim caz se elimină complet răspunsul filtrului la ω = 0 şi ω = π.

Funcţia de transfer a rezonatorului digital cu zerouri în origine este

( ) ( )( )110

00 11 −−− −−=

zrezreb

zH jj ωω (1.101)

sau

( ) ( ) 2210

0

cos21 −− +−=

zrzrb

zHω

(1.102)

Figura 1.12 (a) Modelul poli –zerouri, (b) răspunsul de amplitudine, (c) răspunsul de

fază al unui rezonator digital cu r=0,8 şi r=0,95

Factorul de normalizare b0 se alege astfel încât |H(ω0)| = 1. Din (1.101) rezultă

( )( )( )02

00 11 ωω jrer

bH −−−= (1.103)

şi, deci

( )( )

12cos211 0

2

00 =

−+−=

ωω

rrr

bH (1.104)

Page 214: Prelucrarea digitala a semnalelor

30

Factorul de normalizare este atunci ( ) 0

20 2cos211 ωrrrb −+−= (1.105)

şi ( )ωH se poate exprima ca

( ) ( ) ( )ωωω

21

0

uub

H = (1.106)

iar faza ( ) ( ) ( )ωφωφωωφ 212 −−= (3.107)

unde u1(ω) şi u2(ω) reprezintă modulele vectorilor orientaţi de la p1 şi p2 la punctul ω pe cercul unitate, iar φ1(ω) şi φ2(ω), fazele lor.

( ) ( )( ) ( )ωωω

ωωω

+−+=

−−+=

02

2

02

1

cos21

cos21

rru

rru (1.108)

Pentru o valoare oarecare a lui r, u1(ω) atinge valoarea minimă (1-r) la ω =ω0. Produsul u1(ω)u2(ω) atinge valoarea minimă la frecvenţa

+= 0

2

cos2

1arccos ωωrr

r , (1.109)

care reprezintă frecvenţa de rezonanţă a filtrului. Pentru r foarte apropiat de unitate, 0ωω ≈r , care este poziţia unghiulară a polilor. De asemenea, se observă că dacă r se apropie de unitate, maximul de la rezonanţă devine mai abrupt, deoarece u1(ω) variază semnificativ în apropierea lui

0ω . O măsură cantitativă a ascuţimii caracteristicii rezonatorului este dată de lăţimea de bandă la 3 dB a filtrului, care, pentru valori ale lui r apropiate de unitate, este [49].

)1(2 r−≅∆ω (1.110) În figurile 1.12b şi 1.12c se prezintă răspunsul de modul şi de fază pentru două rezonatoare digitale, unul cu 8,0,3/0 == rπω şi celălalt cu

95,0,3/0 == rπω . Dacă zerourile sunt plasate la z = 1, z = -1, funcţia de transfer a rezonatorului este

( ) ( )( )( )( ) ( ) 221

0

2

11

11

cos211

1111

00 −−

−−−

−−

+−−

=−−+−

=zrzr

zGzrezre

zzGzH jj ωωω (1.111)

şi răspunsul în frecvenţă

Page 215: Prelucrarea digitala a semnalelor

31

( ) ( )[ ] ( )[ ]ωωωω

ω

ω +−−

−−−

=00 11

1 2

0 jj

j

rereebH (1.112)

Zerourile din 1±=z afectează atât răspunsul de amplitudine, cât şi răspunsul de fază. Răspunsul de amplitudine este

( ) ( )( ) ( )ωω

ωω21

0 uuNbH = (1.113)

unde ( ) ( )ωω 2cos12 −=N (1.114)

În figura 1.13 sunt reprezentate răspunsurile de amplitudine şi de fază pentru un rezonator digital cu zerouri în z=1 şi z=-1 şi r =0,8 şi r=0.95. Datorită prezenţei zerourilor, frecvenţa de rezonanţă şi banda filtrului se modifică faţă de cele ale rezonatorului cu zerouri în origine.

Figura 1.13. Răspunsul de amplitudine şi de fază a unui rezonator digital cu zerouri în

z=1 şi z=-1 şi r =0,8 şi r=0.95

1.8.3. Filtre rejectoare (Notch) Un filtru rejector sau notch este un filtru a cărui funcţie de

sistem conţine unul sau mai multe zerouri pe cercul unitate. Caracteristica amplitudine – frecvenţă a unui astfel de filtru va prezenta “crestături” la

Page 216: Prelucrarea digitala a semnalelor

32

frecvenţele corespuntătoare zerourilor, situaţie ilustrată în figura 1.14. Aceste filtre sunt utile în aplicaţii unde anumite componente de frecvenţă trebuie eliminate, cum se întâmplă de multe ori cu frecvenţa tensiunii de alimentare şi armonicele acesteia.

Pentru a crea un nul în răspunsul în frecvenţă al filtrului la frecvenţa ω0, se introduce o pereche de zerouri complex conjugate pe cercul unitate la frecvenţa unghiulară ω0, adică 0

2,1ωjez ±= .

Figura 1.14 Răspunsul în frecvenţă al unui filtru „notch”

Funcţia de sistem a unui filtru „notch” FIR este

( ) ( )( ) ( )2100

110 cos2111 00 −−−−− +−=−−= zzbzezebzH jj ωωω (1.115)

În cazul filtrelor notch FIR, banda din zona nulului sau a crestăturii este relativ întinsă şi sunt atenuate şi alte componente din jurul frecvenţei de interes.

În figura 1.15 se prezintă răspunsul în frecvenţă pentru un filtru notch, care are un zerou la 4/πω = .

Figura 1.15. Caracteristica de modul şi de fază a unui filtru notch cu un zerou la

]cos21[)(;4/ 2100

−− +−== zzGzH ωπω

Page 217: Prelucrarea digitala a semnalelor

33

Pentru a micşora banda din jurul nulului, se introduc poli în funcţia de transfer, 0

2,1ωjrep ±= , cu r apropiat de unitate, al căror efect

este de rezonanţă în vecinătatea nulului şi astfel se reduce lăţimea de bandă a crestăturii.

Funcţia de transfer pentru filtrul realizat este

( ) 2210

210

0 cos21cos21

−−

−−

+−+−

=zrzr

zzbzHωω

(1.116)

Caracteristicile de modul şi de fază pentru două filtre notch a căror funcţie de sistem este dată de (1.116), unul cu 85,0=r şi celălalt cu

95,0=r , sunt prezentate în figura 1.16.

Figura 1.16. Caracteristicile de modul şi de fază pentru două filtre notch cu funcţia de

sistem ( )221

0

210

0cos21cos21

−−

−−

+−

+−=

zrzrzz

bzHωω

, pentru cazurile 85,0=r şi 95,0=r .

1.8.4. Filtre pieptene (Comb) Simplificat, un filtru pieptene sau comb poate fi văzut ca unul notch, în care nulurile se produc periodic de-a lungul benzii de frecvenţă.

Page 218: Prelucrarea digitala a semnalelor

34

Pentru a ilustra un filtru pieptene simplu, fie un filtru FIR care calculează media alunecătoare, descris de ecuaţia cu diferenţe [63]

[ ] [ ]∑=

−+

=M

kknx

Mny

111 (1.117)

cu funcţia de sistem

( )( )[ ]

( )1

1

0 11

11

11

+−

=

−−

+=

+= ∑ z

zM

zM

zHMM

k

k (1.118)

şi răspunsul în frecvenţă

( )2/sin

21sin

1

2/

ω

ωω

ω

+

+=

M

MeH

Mj

(1.119)

Din relaţia (1.118) se observă că filtrul are zerourile pe cercul unitate la

Mkez Mkj

k ..., 2, 1, 12

== +π

(1.120) Polul z = 1 este anulat de zeroul de la z = 1, astfel încât filtrul nu conţine poli în afara originii. Reprezentarea caracteristicii de modul din relaţia (1.119) ilustrează că zerourile uniform spaţiate din răspunsul în frecvenţă sunt la ωk = 2πk/(M+1), k = 1, 2,…,M, situaţie arătată în figura 1.17, pentru M=8.

Figura 1.17. Răspunsul în amplitudine al unui filtru pieptene

Mai general, se poate obţine un filtru pieptene, dintr-un filtru

FIR cu funcţia de sistem

( ) [ ]∑=

−=M

k

kzkhzH0

(1.121)

prin înlocuirea lui z cu zL, unde L este un întreg pozitiv. Noul filtru FIR are funcţia de sistem

Page 219: Prelucrarea digitala a semnalelor

35

( ) [ ]∑=

−=M

k

kLL zkhzH

0 (1.122)

şi răspunsul în frecvenţă

( ) [ ] ( )ωω ω LHzkhHM

k

jkLL == ∑

=

0 (1.123)

În consecinţă, răspunsul în frecvenţă HL(ω) este o repetare de L ori a răspunsului H(ω) în domeniul 0 ≤ ω ≤ 2π, cum este ilustrat în figura 1.18.

Dacă se consideră filtrul FIR descris de (1.118), filtrul pieptene rezultat are funcţia de transfer

( )( )

L

ML

L zz

MzH −

+−

−−

+=

11

11 1

(1.124)

şi răspunsul în frecvenţă

( ) ( )[ ]( )

2/

2/sin2/1sin

11 LMj

L eL

MLM

H ω

ωωω −+

+= (1.125)

cu zerourile pe cercul unitate )1(/2 += MLkj

k ez π (1.126) pentru toate valorile întregi pentru k cu excepţia lui 0, L, 2L, …, ML.

Figura 1.18. Filtru pieptene cu răspunsul în frecvenţă HL(ω) obţinut din H(ω).

Page 220: Prelucrarea digitala a semnalelor

36

1.8.5. Filtre trece tot

Un filtru trece tot (FTT) se defineşte ca un sistem care are modulul funcţiei de transfer constant pentru toate frecvenţele, adică

( ) πωω 0 1 ≤≤=H (1.127) Cel mai simplu exemplu de filtru trece tot este un sistem de

întârziere pură, descris de H(z) = z-k. Un filtru trece tot, mult mai general, este caracterizat de funcţia de sistem

( ) 1a ,...1

...0

0

01

1

11

11 ==

+++++++

=

=

=

+−

−−

−+−−−

N

k

kk

N

k

kNk

NN

NNNN

za

za

zazazzazaazH (1.128)

cu coeficienţii ak reali. Dacă se defineşte polinomul

1a ,)( 00

== ∑=

−N

k

kk zazA (1.129)

relaţia (1.128) se mai poate scrie

)()()(

1

zAzAzzH N−

−= (1.130)

Deoarece 1)()()( 12 ==ω

ω=

−jez

zHzHH (1.131)

sistemul descris de (1.130) este trece tot. Mai mult, dacă z0 este un pol al lui H(z), 1/z0 este un zerou al său (adică polii şi zerourile sunt reciproce), cum se arată în figura 1.19.

Figura 1.19. Modelul poli-zerouri al unui FTT a) de ordinul I b) de ordinul II

O formă mai generală pentru funcţia de transfer a unui filtru

trece tot cu coeficienţi reali este

Page 221: Prelucrarea digitala a semnalelor

37

( )( )( )( )∏∏

=−−

−−

=−

−−−−

−−

=CR N

k kk

kkN

k k

kap zz

zzz

zzH1

1*1

*11

11

1

111)(

ββββ

αα

(1.132)

unde kα reprezintă polii reali, kβ şi *kβ , polii complex conjugaţi, NR,

numărul de poli şi zerouri reale, iar NC, numărul perechilor de zerouri şi poli complex conjugaţi. Se observă că fiecărui pol complex îi corespunde în factorul trece tot un zerou care este reciprocul conjugat al polului. Pentru sistemele cauzale şi stabile [63], -1 < αk < 1 şi |βk| < 1. Pentru un filtru trece tot cu un singur pol şi un zerou, complecşi, caracterizat de funcţia de sistem

1

1

1*)( −

−−

=az

azzH ap , cu θjrea =

şi funcţia de transfer

ωθ

ωθω

ω

ω

ω jj

jjj

j

j

ap ereeree

aeaeH −

−−

−−

=−

−=

11

1*)( ,

răspunsul de fază este

)cos(1

)sin(2)(θω

θωωω−−

−−−=Θ

rrarctgap (1.133)

şi întârzierea de grup este

)cos(21

1)(2

2

θωωω

τ−−+

−=

Θ−=

rrr

dd ap

g (1.134)

Se observă că pentru un sistem cauzal şi stabil, 1<r şi, deci, 0≥gτ . Deoarece întârzierea de grup a unui filtru trece tot de ordin

superior este o sumă de termeni pozitivi, ca în relaţia (1.134), aceasta este întotdeauna pozitivă.

Filtrele trece tot au aplicaţii în egalizări de fază, pentru compensarea fazei necorespunzătoare aplicaţiei, astfel încât răspunsul global să fie de fază liniară.

1.8.6. Oscilatoare digitale sinusoidale

Un oscilator digital sinusoidal poate fi văzut ca un rezonator cu

o pereche de poli complex conjugaţi plasaţi pe cercul unitate. Un sistem de ordinul II, cu funcţia de sistem

Page 222: Prelucrarea digitala a semnalelor

38

22

11

0

1)( −− ++=

zazabzH (1.135)

şi parametrii 2201 a ,cos2 rra =−= ω , are polii complex conjugaţi

02,1

ωjrep ±= şi răspunsul la impuls [63]

][)1sin(sin

][ 00

0 nunrbnhn

ωω

+= (1.136)

Pentru r = 1 şi 00 sinω= Ab , rezultă ][)1sin(][ 0 nunAnh ω+= , (1.136’)

adică răspunsul la impuls al unui sistem de ordin II cu poli complex conjugaţi pe cercul unitate este un semnal sinusoidal, sistemul devenind un generator sinusoidal digital.

1.9. Sisteme inverse, deconvoluţie şi identificarea sistemelor

Răspunsul y[n] al unui SDLIT, caracterizat de răspunsul la impuls h[n], la un semnal de intrare x[n] este dat de convoluţia dintre h[n] şi x[n]. În unele probleme practice se doreşte aflarea semnalului de intrare, cunoscându-se semnalul de ieşire al unui sistem cu caracteristici necunoscute. De exemplu, în transmisia datelor digitale la viteză mare pe canalele telefonice se ştie că acestea distorsionează semnalul şi cauzează interferenţă intersimboluri, ceea ce poate determina erori la refacerea datelor. În acest caz se pune problema proiectării unui sistem corector care, cascadat cu sistemul original, să furnizeze o ieşire care să corecteze distorsiunile canalului şi, deci, să producă o replică a semnalului dorit. Acest sistem corector se numeşte egalizor. În contextul general al teoriei sistemelor liniare invariante în timp, sistemul corector se va numi sistem invers, deoarece, în principiu, răspunsul său în frecvenţă este invers celui al sistemului ce provoacă distorsiunile. Mai mult, deoarece sistemul care introduce distorsiunile produce o ieşire ][ny care este convoluţia dintre ][nx şi ][nh , operaţia sistemului invers care cunoaşte pe ][ny şi produce pe ][nx se numeşte deconvoluţie. Dacă sistemul distorsiv este necunoscut, de obicei, este necesar, dacă este posibil, a-l excita cu un semnal cunoscut, apoi să se observe ieşirea şi să se compare aceasta cu intrarea pentru a determina caracteristicile sistemului.

Page 223: Prelucrarea digitala a semnalelor

39

În problema descrisă, măsurarea răspunsului în frecvenţă al canalului se realizează transmiţând un set de sinusoide de amplitudine egală şi frecvenţe diferite, cu faze specificate în banda canalului. Canalul va atenua şi defaza fiecare din sinusoide. Din compararea semnalului recepţionat cu cel transmis, receptorul obţine informaţii despre răspunsul în frecvenţă al canalului, ce pot fi folosite în proiectarea sistemului invers. Procesul de determinare a caracteristicilor unui sistem necunoscut fie ][nh , fie )(ωH , prin măsurători efectuate aupra sistemului se numeşte identificare de sistem.

1.9.1. Inversarea sistemelor liniare, invariante în timp

Se spune că un sistem este inversabil dacă există o corespondenţă bijectivă între semnalele de la intrarea şi ieşirea sa. Această definiţie implică faptul că, dacă se cunoaşte secvenţa de ieşire ∞<<∞− nny ],[ , pentru un sistem inversabil H , atunci acestuia i se poate determina în mod unic intrarea ∞<<∞− nnx ],[ . Sistemul invers, cu intrarea ][ny şi ieşirea ][nx se notează 1−H . Conectarea în cascadă a sistemului cu sistemul invers este echivalentă cu sistemul identitate, deoarece

[ ] ][][][][ 11 nxnxHHnyHnw === −− (1.137) după cum se ilustrează în figura 1.20.

Figura 1.20 Cascada formată din sistemul direct H şi sistemul invers 1−H .

În continuare se va urmări determinarea sistemului invers pentru

clasa sistemelor discrete liniare invariante în timp. Fie un SDLIT, H, cu răspunsul la impuls ][nh şi fie ][nhI răspunsul la impuls al sistemului invers 1−H . Relaţia (1.137) este echivalentă cu ecuaţia

][][][][][ nxnxnhnhnw I =∗∗= (1.138) care implică

][][][ nnhnh I δ=∗ (1.139)

H H-1

y[n] sistem direct sistem invers

x[n] w[n]=x[n]

Page 224: Prelucrarea digitala a semnalelor

40

Relaţia (1.139) poate fi folosită pentru determinarea lui ][nhI , dacă se cunoaşte ][nh . În domeniul timp, acest lucru este dificil de realizat. O soluţionare mai simplă presupune transformarea lui (1.139) în domeniul Z şi apoi de găsit 1−H , adică, aplicând transformata Z relaţiei (1.139), rezultă

1)()( =zHzH I (1.140) de unde

)(1)(

zHzH I = (1.141)

Dacă )(zH este o funcţie raţională

)()()(

zAzBzH = (1.142)

atunci

)()()(

zBzAzH I = , (1.143)

ceea ce înseamnă că zerourile lui )(zH devin poli pentru sistemul invers, şi invers. Stabilitarea sistemului invers depinde de poziţionarea zerourilor sistemului H(z) şi va fi descutată ulterior. Mai mult, dacă )(zH este un sistem FIR, atunci )(zH I este un sistem numai cu poli şi dacă )(zH este numai cu poli, )(zH I este FIR.

Exemplul 1.7. Să se determine inversul sistemului care are răspunsul la impuls

][21][ nunh

n

= .

Soluţie. 1

211

1)(−−

=z

zH , 21|:| >zRC .

Acest sistem este cauzal şi stabil. Deoarece )(zH este numai cu poli, inversul său va fi un sistem FIR, cu funcţia de sistem

1

211)( −−= zzH I . Răspunsul său la impuls este ]1[

21][][ −−= nnnhI δδ .

Exemplul 1.8. Să se determine inversul sistemului care are răspunsul la impuls

Page 225: Prelucrarea digitala a semnalelor

41

]1[21][][ −−= nnnh δδ .

Soluţie. Acesta este un filtru FIR, a cărui funcţie de sistem este 1

211)( −−= zzH , 0|:| >zRC .

Sistemul invers are funcţia de sistem

211

2111

)(1)(

−=

−== − z

zzzH

zH I ,

adică )(zH I are un zerou în origine şi un pol în 2/1=z . În acest caz există două regiuni posibile de convergenţă şi, deci, două sisteme inverse posibile, după cum se arată în figura 1.21.

Figura 1.21. Două posibile regiuni de convergenţă pentru

21)(

−=

zzzH .

Dacă regiunea de convergenţă pentru )(zH I este 21|| >z ,

transformarea inversă conduce la răspunsul la impuls

][21][ nunh

n

I

=

care caracterizează un sistem cauzal şi stabil.

Dacă, însă, regiunea de convergenţă se presupune a fi 21|| <z ,

sistemul invers are răspunsul la impuls

]1[21][ −−

−= nunh

n

I

În acest caz sistemul invers este anticauzal şi instabil. Din acest exemplu se observă că ecuaţia (1.139) nu poate fi rezolvată unic folosind

Page 226: Prelucrarea digitala a semnalelor

42

relaţia (1.143), dacă nu se specifică regiunea de convergenţă pentru funcţia de sistem a sistemului invers. Este posibil ca răspunsul la impuls ][nh să nu aibă transformata Z exprimată într-o formă analitică. O alternativă la această situaţie este rezolvarea ecuaţiei (1.139) cu ajutorul unui calculator numeric. Deoarece (1.139) nu are, în general, soluţie unică, se presupune că atât sistemul, cât şi inversul său sunt cauzale, caz în care (1.139) se poate scrie ca

][][][0

nknhkhn

kI δ=−∑

=

(1.144)

Prin convenţie, 0][ =nh pentru 0<n . Pentru 0=n se obţine

]0[1]0[

hhI = (1.145)

Valorile lui ][nhI pentru 1≥n se pot obţine recursiv din relaţia (1.144), sub forma

∑=

−−=

n

k

II h

knhkhnh

1 ]0[][][

][ (1.146)

Această relaţie recursivă poate fi implementată cu uşurinţă cu un calculator. Există două probleme referitoare la relaţia (1.146), şi anume: 1. Metoda nu este funcţională dacă 0]0[ =h . Acest lucru poate fi

remediat prin introducerea unei întârzieri potrivite în membrul drept al relaţiei (1.144), adică înlocuirea lui ][nδ cu ][ mn −δ , unde 1=m , dacă 0]0[ =h şi 0]1[ ≠h ş.a.m.d.

2. Datorită recurenţei, relaţia (1.146) poate produce erori de rotunjire care cresc cu n şi, ca urmare, precizia lui ][nh se deteriorează pentru n mare.

Exemplul 1.9.

Să se determine sistemul cauzal invers sistemului FIR descris de ]1[][][ −−= nnnh αδδ .

Soluţie. Deoarece 1]0[ =h , α−=]1[h şi 0][ =nh pentru 1>n , se poate scrie

1]0[

1]0[ ==h

hI şi ]1[][ −= nhnh II α , 1≥n , adică α=]1[Ih , 2]2[ α=Ih ,

Page 227: Prelucrarea digitala a semnalelor

43

… , nI nh α=][ , care corespunde unui sistem IIR cauzal, cum era de

aşteptat.

1.9.2. Sisteme de fază minimă, maximă şi mixtă

În multe cazuri este util a impune restricţia ca sistemul invers să fie, de asemenea, stabil şi cauzal.

Inversarea SDLIT este strâns legată de caracteristicile funcţiei de fază a sistemului. Pentru a ilustra acest lucru, fie două sisteme FIR, caracterizate de funcţiile de sistem

+=+= −−

21

211)( 11

1 zzzzH (1.147)

+=+= −− 1

21

21)( 11

2 zzzzH (1.148)

Sistemul descris de (1.147) are un zerou la 21

−=z şi răspunsul

la impuls h[0]=1, h[1]=21 . Sistemul din (1.148) are un zerou la z=-2 şi

eşantioanele răspunsului la impuls h[0]=21 , h[1]=1, care sunt egale cu

cele care caracterizează sistemul din (1.147), dar în ordine inversă. Acest lucru se datorează faptului că zerourile lui )(1 zH şi )(2 zH sunt inverse unele altora. În domeniul frecvenţă cele două sisteme sunt caracterizate de răspunsurile de amplitudine

ωωω cos45|)(||)(| 21 +== HH (1.149)

şi de fază

ω

ωωωθcos

21

sin)(1

++−= arctg (1.150)

ω

ωωωθcos2

sin)(2 ++−= arctg (1.151)

Caracteristicile de modul ale celor două sisteme sunt identice datorită relaţiei între zerourile lui )(1 zH şi )(2 zH . Răspunsurile de fază

)(1 ωθ şi )(2 ωθ sunt reprezentate în figura 1.22 a şi b.

Page 228: Prelucrarea digitala a semnalelor

44

Figura 1.22. Răspunsul de fază al sistemelor descrise de a) (1.147) şi b) (1.148)

Se observă cum caracteristica de fază )(1 ωθ a sistemului cu zeroul

în interiorul cercului unitate începe la 0 pentru 0=ω şi se termină tot la 0 pentru πω = , astfel încât schimbarea netă de fază este

0)0()( 11 =−θπθ . Pentru sistemul cu zeroul în afara cercului unitate schimbarea de fază este πθπθ =− )0()( 22 . Primul sistem este de fază minimă, iar al doilea de fază maximă. Aceste definiţii pot fi extinse pentru sisteme FIR cu M zerouri. Răspunsul în frecvenţă al unui filtru FIR de lungime M+1 este

)1)...(1)(1()( 210ω−ω−ω− −−−=ω j

Mjj ezezezbH (1.152)

unde 0b -costantă arbitrară, iar Mizi ,1, = - zerourile filtrului. Dacă toate zerorile filtrului, reale şi/sau complex conjugate, sunt în

interiorul cercului unitate, fiecare termen real sau pereche de termeni complex conjugaţi din (1.152) va suferi o schimbare de fază egală cu 0 când ω variază de la 0 la π , adică

0)0()( =∠−∠ HH π (1.153) motiv pentru care sistemul este de fază minimă.

Dacă zerourile sunt în afară cercului unitate, fiecare zerou real va determină o schimbare de π radiani în raspunsul de fază, iar o pereche de zeroruri complex conjugate o schimbare de π2 radiani, adică

ππ MHH =∠−∠ )0()( (1.154) sistemul fiind de fază maximă.

Deoarece derivata fazei este o măsură a întârzierii pe care componentele semnalului le suferă la trecerea prin filtru, un sistem de fază minimă implică o întârziere minimă.

Fie un sistem FIR cu coeficienţi reali. Pătratul răspunsului de modul este

ωω jezzHzHH=

−= |)()(|)(| 12 (1.155)

Page 229: Prelucrarea digitala a semnalelor

45

Această relaţie implică faptul că dacă un zerou kz al sistemului se

înlocuieşte cu inversul său kz

1 , caracteristica de modul nu se schimbă.

Aceasta înseamnă că, dacă 2)(ωH este pătratul modulului răspunsului în frecvenţă al unui filtru FIR cu M zerouri, există 2M configuraţii posibile pentru cele M zerouri. O configuraţie corespunde zerourilor din cercul unitate, care caracterizează un sistem de fază minimă, o configuraţie conţine toate zerourile în afara cercului unitate şi corespunde unui sistem de fază maximă, iar restul de 22 −M configuraţii corespund sistemelor de fază mixtă. Nu toate cele 22 −M configuraţii de fază mixtă corespund neapărat unor sisteme FIR cu coeficienţi reali.

Proprietatea de fază minimă a sistemelor FIR poate fi extinsă şi asupra sistemelor IIR caracterizate de funcţii de sistem raţionale.

Un sistem IIR, stabil şi cauzal, caracterizat de funcţia de sistem

)()()(

zAzBzH = (1.156)

este de fază minimă dacă toţi polii şi zerourile sunt în interiorul cercului unitate.

Pentru un sistem stabil şi cauzal (toate rădăcinile lui A(z) în interiorul cercului unitate) sistemul este de fază maximă, dacă toate zerourile sale sunt în exteriorul cercului unitate şi de faza mixtă sau neminimă, dacă unele zerouri, dar nu toate, sunt în exteriorul cercului unitate.

Din cele prezentate până acum se evidenţiază faptul că unui sistem cu poli şi zerouri stabil, de fază minimă, i se poate ataşa are un sistem invers stabil care este, de asemenea, de fază minimă.

)()()(1

zBzAzH =− (1.157)

Aceasta înseamnă că proprietatea de fază minimă a lui H(z) asigură stabilitatea sistemului invers şi stabilitatea lui H(z) implică proprietatea de fază minimă a lui )(1 zH − . Sistemelor stabile de fază mixtă şi maximă le corespund sisteme inverse instabile. Un alt mod de a caracteriza sistemele de fază minimă se referă la răspunsul de fază. În paragraful 1.1.1. s-a definit Arg[H(ω)] ca fiind întregul răspuns de fază. Dacă se cunoaşte valoarea principală a fazei, se poate construi funcţia de fază totală prin adăugarea sau scăderea valorii de

Page 230: Prelucrarea digitala a semnalelor

46

2π radiani în punctele de discontinutate, după cum se arată în figura 1.1, procedură numită de desfăşurare a fazei. În paragraful 1.6. s-a arătat că răspunsul de fază al filtrului este determinat de conţinutul tuturor singularităţilor din planul Z. Când ω variază de la 0 la π, un pol din interiorul cercului unitate scade răspunsul de fază cu π radiani, în timp ce un zerou din interiorul cercului unitate creşte fază cu π radiani. Dacă toate singularităţile sunt în interiorul cercului unitate, cum este cazul sistemelor cauzale şi stabile de fază minimă, răspunsul de fază Arg[H(ω)], care este o funcţie continuă de ω, are valoarea zero atât pentru ω=0, cât şi pentru ω=π. Zerourile de pe cercul unitate determină salturi de π radiani în răspunsul de fază. Dacă pe cercul unitate sunt µz zerouri, răspunsul de fază este µz⋅π la ω=π.

Dacă pentru un sistem se cunoaşte

⋅= ∗

zH H(z)C(z) 1 şi acel

sistem este de fază minimă, atunci H(z) va avea polii şi zerourile lui C(z) din cercul unitate. Din C(z) nu se poate determina în mod unic H(z), deoarece orice alegere care are modulul răspunsului în frecvenţă dat poate fi cascadată cu o celulă trece tot arbitrară, fără a-i schimba modulul (vezi exemplul 1.2).

Aceasta se întâmplă deoarece dacă se schimbă un zerou al funcţiei de sistem a unei celule trece tot din z=z0 în z=1/z0, nu se schimbă răspunsul de amplitudine, ci numai cel de fază. Aceasta înseamnă că un răspuns de amplitudine dat poate avea asociate mai multe răspunsuri de fază. Din analiza de mai sus se poate da următoarea definiţie pentru sistemele de fază minimă:

Pentru un răspuns de amplitudine dat, sistemul de fază minimă este sistemul cauzal pentru care răspunsul de fază corespunzător are valoarea cea mai mică pentru toate valorile lui z de pe cercul unitate. În continuare sunt prezentate câteva aspecte care caracterizează sistemele de fază neminimă.

1.9.2.1. Descompunerea sistemului cu poli şi zerouri, de faza neminimă

Un sistem poli zerouri de fază neminimă se poate descompune

sub forma (z)H(z)HH(z) ap⋅= min (1.158)

Page 231: Prelucrarea digitala a semnalelor

47

unde Hmin(z) este un sistem de fază minimă şi Hap(z) este un sistem trece tot. Acest lucru rezultă uşor pentru clasa sistemelor cauzale şi stabile cu

funcţie de transfer raţională A(z)B(z)H(z) = . Într-adevăr, dacă B(z) are una

sau mai multe rădăcini în afară cercului unitate, fie factorizarea (z)(z)BBB(z) 21= , unde B1(z) are toate rădăcinile în interiorul cercului

unitate, iar B2(z) are toate rădăcinile în exteriorul cercului unitate. Atunci B2(z-1) are rădăcinile în interiorul cercului unitate.

Cu consideraţiile de mai sus, sistemul cu funcţia de sistem

A(z)

)(zB(z)B)(H1

21min

−⋅=z (1.159)

este de fază minimă, iar sistemul caracterizat de

)(

)()( 12

2−=

zBzBzH ap , (1.160)

este un sistem trece tot. Cu (1.159) şi (1.160) rezultă (1.158). Din (1.160) rezultă că Hap(z) este stabil, trece tot şi de fază maximă.

1.9.2.2. Întârzierea de grup a sistemelor de fază neminimă Pe baza descompunerii din relaţia (1.158), se poate exprima întârzierea de grup pentru sistemul caracterizat de H(z), ca fiind

)()()( min ωτωτωτ apggg += (1.161)

Deoarece 0)( ≥ωτ apg pentru πω ≤≤0 , rezultă că

)()( min ωτωτ gg ≥ , pentru πω ≤≤0 . Din (1.161) se poate concluziona că dintre toate sistemele poli – zerouri care au acelaşi răspuns de amplitudine, sistemele de fază minimă au cea mai mică întârziere de grup.

1.9.2.3. Energia parţială a sistemelor de fază neminimă Energia parţială a unui sistem cauzal, cu răspunsul la impuls ][nh se defineşte ca

∑=

=n

kkhnE

0

2][][ (1.162)

Se poate arăta că dintre toate sistemele poli – zerouri care au acelaşi răspuns de amplitudine şi aceeaşi energie totală )(∞E , sistemele de fază minimă au cea mai mare energie parţială [25].

Page 232: Prelucrarea digitala a semnalelor

48

1.9.3. Identificarea sistemelor şi deconvoluţia Se presupune că un SDLIT necunoscut este excitat cu semnalul de intrare x[n] şi se observă ieşirea y[n]. Din observarea secvenţei de ieşire se doreşte determinarea răspunsul la impuls al sistemului necunoscut sau funcţia sa de sistem. Aceasta este o problemă de identificare de sistem, care, în funcţie de natura secvenţelor de intrare şi ieşire, poate fi rezolvată prin următoarele metode:

1.9.3.1. Determinarea funcţiei de sistem Ieşirea sistemului necunoscut, liniar şi invariant în timp, este egală

cu convoluţia dintre semnalul de intrare şi răspunsul său la impuls. În domeniul Z, aceasta se scrie ca

)()()( zXzHzY = (1.163)

de unde X(z)Y(z)H(z) = (1.164)

X(z) şi Y(z) fiind transformate Z ale semnalelor de intrare, x[n], şi respectiv, de ieşire, y[n]. Rezultă atunci că această abordare este potrivită numai când există forme analitice pentru X(z) şi Y(z).

Exemplul 1.10.

Un sistem cauzal produce secvenţa de ieşire

==

=restîn,0

1,10/70,1

][ nn

ny

când este excitat de secvenţa de intrare

==−=

=

restîn,02,10/11,10/70,1

][nnn

nx

Să se determine răspunsul la impuls şi ecuaţia cu diferenţe a sistemului.

Soluţie. Funcţia de transfer poate fi determinată uşor prin considerarea transformatelor Z pentru x[n] şi y[n].

⋅−⋅

⋅−

⋅+=

⋅+⋅−

⋅+==

−−

−−

11

1

21

1

511

211

1071

101

1071

1071

zz

z

zz

z

X(z)Y(z)H(z)

Page 233: Prelucrarea digitala a semnalelor

49

Deoarece sistemul este cauzal, regiunea sa de convergenţă este

RC: 21

>z . Sistemul este, de asemenea stabil, deoarece polii săi sunt în

interiorul cercului unitate. Ecuaţia cu diferenţe corespunzătoare este

]1[107][]2[

101]1[

107][ −++−−−= nxnxnynyny

Răspunsul la impuls se obţine din H(z) prin transformare Z inversă

][n513

214][ unh

nn

= (1.165)

Se observă că relaţia (1.164) determină în mod unic sistemul necunoscut, dacă se cunoaşte că acesta este cauzal. Metoda folosită în exemplul precedent este funcţională, dacă secvenţele de intrare şi ieşire sunt finite. Deoarece este foarte probabil ca răspunsul y[n] să fie infinit, această abordare este nepractică. 1.9.3.2. Aflarea răspunsului la impuls direct în domeniul timp

Pentru un sistem cauzal, caracterizat de răspunsul la impuls ][nh , răspunsul la un semnal de intrare, ][nx , este dat de suma de convoluţie

∑=

−⋅=n

0k

k]x[nh[k]y[n] n ≥0 (1.166)

Din aceasta rezultă

]0[]0[]0[

xyh = (1.167)

şi

]0[

][][][][

1

0

x

knxkhnynh

n

k∑−

=

−⋅−= n ≥1 (1.168)

Această relaţie recursivă necesită ca x[0]≠0. Dacă h[n] este infinit în durată, această tratare nu este practică dacă nu se trunchiază h[n]. Datorită caracterului recursiv al relaţiei (1.168), metoda poate fi uşor implementată cu ajutorul calculatorului. Exemplul 1.11. În condiţiile exemplului 1.10, să se găsească răspunsul la impuls al sistemului, direct în domeniul timp.

Page 234: Prelucrarea digitala a semnalelor

50

Soluţie. Cu datele din exemplul precedent, aplicând relaţiile (1.167) şi (1.168), se obţine ,....25/22]2[;5/7]1[;1]0[ === hhh , identice cu eşantioanele obţinute cu relaţia (1.165). Într-adevăr, se observă necesitatea trunchierii lui ][nh .

1.9.3.3. Determinarea funcţiei de transfer a sistemului prin metode de corelaţie O altă metodă de identificare a unui sistem necunoscut se bazează

pe tehnici de corelaţie. Pentru aceasta, se calculează densitatea spectrală de energie, )(ωxxS , a semnalului de intrare ][nx şi densitatea spectrală de energie de intercorelaţie, )(ωyxS , dintre semnalul de intrare ][nx şi semnalul de ieşire ][ny . )(ωxxS este transformata Fourier a secvenţei de autocorelaţie, ][lrxx , a semnalului de intrare ][nx , iar )(ωyxS este transformata Fourier a secvenţei de corelaţie ][lryx , dintre semnalul de intrare ][nx şi semnalul de ieşire ][ny [34]. Pentru un SDLIT, caracterizat de răspunsul la impuls ][nh , funcţia de corelaţie intrare-ieşire este

=−⋅−⋅=−⋅= ∑ ∑∑∞

−∞=

=

−∞= n knyx m]x[nk]x[nh[k]m]x[ny[n][m]r

0

∑ ∑ ∑ ∑∞

=

−∞=

=

−∞=

=+−⋅=−⋅−0 0k n k p

k]mx[px[p]h[k]m]x[nk]x[nh[k]

∑∞

=

∗=−⋅=0k

xxxx [m]rh[m]k][mrh[n] (1.169)

În domeniul frecvenţă se poate scrie 2

yx )()()()()(S ωωωωω XHSH xx =⋅= (1.170)

de unde 2)

))

X(ω

(ωS(x)S(x)S

H(ω yx

xx

yx == (1.171)

Dacă se alege intrarea x[n] astfel încât densitatea sa spectrală de energie să fie constantă pentru toate valorile lui ω , adică KS xx /1)( =ω , atunci relaţia (1.171) devine

)) (ωKSH(ω yx= (1.172) Echivalent, valorile răspunsului la impuls h[n] sunt egale cu

valorile secvenţei de corelaţie ][ lryx , scalate cu valoarea K.

Page 235: Prelucrarea digitala a semnalelor

51

În unele cazuri, sistemul poate fi identificat prin calculul secvenţei de autocorelaţie a ieşirii ][ny . Urmând un mers de calcul similar relaţiei (1.169), rezultă

∑∞

−∞=

∗=−⋅=n

xxhhyy mrmrmnynymr ][][][][][ (1.173)

În domeniul frecvenţă se poate scrie )()()(S 2

yy ωωω xxSH ⋅= (1.174) Pentru un semnal de intrare cu densitatea spectrală de energie plată, se poate scrie

KH ⋅= 2yy )()(S ωω (1.175)

sau, echivalent, în domeniul Z, pentru K=1 )()()(S 1

yy−= zHzHz (1.176)

Pentru H(z) funcţie raţională, )()()(

zAzBzH = , rezultă

)()()()(

)()()( 1

1

==zAzAzBzB

zCzDzS yy (1.177)

Numărătorul şi numitorul relaţiei (1.177) prezintă simetrie în oglindă a rădăcinilor. Pentru a determina H(z), se determină rădăcinile lui D(z) şi C(z), apoi acestea se grupează pentru a forma pe H(z). Soluţia ecuaţiei (1.176) nu este unică. Corespunzător, în domeniul frecvenţă, această relaţie are un singur răspuns de amplitudine, spre deosebire de cel de fază, care nu este unic. O soluţie unică se poate obţine prin impunerea unor constrângeri suplimentare asupra fazei sistemului. Exemplul 1.12. Densitatea spectrală de energie a ieşirii unui SDLIT cauzal şi

stabil esteωωω

cos25,1cos4,004,1)(

−+

=yyS . Sistemul este excitat cu un semnal a

cărui densitate spectrală de energie este unitară. Să se determine funcţia de transfer a sistemului. Soluţie. Cu ajutorul relaţiior trigonometrice, )(ωyyS se scrie

)(5,025,1)(2,004,1)( ωω

ωω

ω jj

jj

yy eeeeS −

+−++

= . Înlocuind ωjez = în relaţia anterioară şi

ţinând cont de (1.176), se obţine

Page 236: Prelucrarea digitala a semnalelor

52

)5,01)(5,0()2,01)(2,0(

15,212,54,0

)(5,025,1)(2,004,1)()(

11

11

2

2

1

11

−−

−−

−−

−−++

=

=

+−++

−=+−++

=

zzzz

zzzz

zzzzzHzH

În condiţiile problemei, există două soluţii pentru H(z). Pentru sistemul de

fază minimă, funcţia de transfer este )5,01()2,01()( 1

1

−+

=zzzH , în timp ce,

pentru sistemul de fază neminimă, )5,01()2,0()( 1

1

−+

=z

zzH .

Page 237: Prelucrarea digitala a semnalelor

CAPITOLUL 6

EANTIONAREA SEMNALELOR ÎN DOMENIILE TIMP I FRECVEN|

Cele mai multe semnale de interes practic sunt analogice. Pentru a

le putea prelucra cu ajutorul procesoarelor numerice, acestea trebuie s\ fie transformate `n secven]e, motiv pentru care se impune discretizarea varia]iei lor `n timp, prin e[antionare. Nu numai semnalele analogice pot fi e[antionate, ci [i cele discrete. Procedeul de e[antionare a semnalelor discrete este urmat, de obicei, de opera]ia de "decimare", prin care unele valori ale semnalului discret sunt ignorate, rezultând astfel un semnal cu o derulare "mai rapid\" decât a semnalului discret e[antionat.

O problem\ important\ care va fi avut\ `n vedere este reconstruc]ia semnalului din e[antioanele sale, reconstruc]ie posibil\, `n anumite condi]ii, printr-o opera]ie de filtrare. Stabilirea caracteristicilor filtrului de reconstruc]ie va face obiectul unui paragraf al acestui capitol.

E[antionarea poate fi efectuat\ [i asupra spectrului unui semnal. De exemplu, un semnal aperiodic (analogic sau discret), de energie finit\, are spectrul continuu [i calcularea sa în practic\ este posibil\ numai într-un set finit de frecven]e discrete. Datorit\ observ\rii spectrului la frecven]e discrete, evaluarea sa este cunoscut\ ca e[antionare `n domeniul frecven]\. Astfel de e[antion\ri `n domeniul frecven]\ apar `n analiza [i estimarea spectral\. Obiectul prezentului capitol const\ `n analizarea e[antion\rii periodice sau uniforme a semnalelor sau a spectrului lor [i reconstruc]ia semnalelor din e[antioanele lor cu ajutorul mijloacelor de analiz\ `n domeniul frecven]\ introduse `n capitolul 4.

6.1. E[antionarea în domeniul timp [i refacerea semnalelor analogice

6.1.1. Spectrul semnalului e[antionat ideal

A[a cum s-a precizat în capitolul 1, de obicei, se consider\ e[antionarea periodic\ a semnalului analogic xa(t) la fiecare T secunde, care conduce la secven]a de e[antioane

197

Page 238: Prelucrarea digitala a semnalelor

(6.1) [ ] ZnnTxnx a ∈= ),(

Frecven]a de e[antionare T

FS1

= trebuie selectat\ adecvat pentru a nu

rezulta eroare alias. ~n e[antionarea ideal\, modelul de extragere a unui e[antion din semnal const\ `n `nmul]irea semnalului cu un impuls Dirac, de arie unitar\. Dac\ se consider\ cazul e[antion\rii uniforme, cu pasul de e[antionare T=1/Fs, modelul e[antion\rii ideale se extinde la `nmultirea

semnalului analogic cu semnalul periodic δ , care este

distribu]ia Dirac periodic\ [13]. Modelul matematic al e[antion\rii uniforme este prezentat `n figura 6.1.

∑∞

−∞=

−=n

T nTtt )()( δ

Figura 6.1 Modelul matematic al e[antion\rii uniforme a unui semnal analogic

Conform modelului, semnalul e[antionat are expresia

∑∞

−∞=

−=n

a nTtnTxnx )()(][ δ (6.1')

Fie xa(t) un semnal analogic aperiodic de energie finit\, al c\rui spectru este

(6.2) ( ) ( )∫∞

∞−

−= dtetxFX Ftjaa

π2

Semnalul xa(t) poate fi ref\cut din spectrul s\u prin transformata Fourier invers\

(6.3) ( ) ( )∫∞

∞−

= dFeFXtx Ftjaa

π2

Se observ\ c\ pentru refacerea semnalului sunt necesare componentele de frecven]\ dintr-un domeniu infinit. Spectrul semnalului e[antionat se calculeaz\ cu rela]ia [ ]nx

(6.4) ( ) [ ]∑∞

−∞=

−=n

njenxX ωω

sau, echivalent (6.4’) ( ) [ ]∑∞

−∞=

−=n

fnjenxfX π2

198

Page 239: Prelucrarea digitala a semnalelor

Semnalul x poate fi ref\cut din spectrul X(ω) sau X(f) prin transformarea invers\

[ ]n

[ ] ( ) ( )∫∫−−

==2/1

2/1

2

21 dfefXdeXnx fnjnj π

π

π

ω ωωπ

(6.5)

Pentru determinarea rela]iei dintre spectrul semnalului analogic [i al semnalului e[antionat, se folose[te rela]ia dintre variabilele independente t [i n ale semnalelor xa(t) [i , adic\ ][nx

SFnnTt == (6.6)

Înlocuind (6.6) în (6.3) se ob]ine

[ ] ( ) ( )∫∞

∞−

=≡ dFeFXnTxnx SFFnj

aa

π2 (6.7)

Din (6.7) [i (6.5) rezult\

( )∫ ∫−

∞−

=2/1

2/1

22 )( dFeFXdfefX SF

Fnj

afnj

ππ (6.8)

Se reaminte[te c\ e[antionarea periodic\ implic\ o rela]ie între frecven]ele F [i f, corespunz\toare semnalului analogic [i e[antionat, de forma

SFFf = (6.9)

Cu (6.9) rela]ia (6.8) devine

( )∫∫∞

∞−−

=

dFeFXdFe

FFX

FS

S

S

S FFnj

a

F

F

FFnj

SS

ππ 22

2

21 (6.10)

Integrala din membrul al doilea al rela]iei (6.10) se poate exprima ca o sum\ infinit\ de integrale pe câte un domeniu egal cu FS.

( ) ( )( )

( )

∫ ∑ ∫∞

∞−

−∞=

+

=k

Fk

Fk

FFnj

aFFnj

a

S

S

SS dFeFXdFeFX2/1

2/1

22 ππ

(6.11)

Dac\ se noteaz\ F = F1 + kFS (6.12) suma din membrul al doilea al rela]iei (6.11) devine

( ) ( )( )

( )

∑ ∫ ∑ ∫∞

−∞=

+

−∞= −

+

=+=k

Fk

Fk k

F

F

FkFF

nj

SaFFnj

a

S

S

s

s

S

S

S dFekFFXdFeFX2/1

2/1

2/

2/1

2

1

2 1ππ

199

Page 240: Prelucrarea digitala a semnalelor

( ) ( )∫ ∑∫ ∑−

−∞=−

−∞=

=+=+=2/

2/

22/

2/1

2

1

1 s

s

S

s

s

S

F

F k

FFnj

Sa

F

F k

FFnj

Sa dFekFFXdFekFFXππ

( )∫ ∑−

−∞=

−=2/

2/

2s

s

S

F

F k

FFnj

Sa dFekFFXπ

(6.13)

inând cont de (6.13), rela]ia (6.11) devine

( ) ( )∫ ∫ ∑∞

∞− −

−∞=

−=

2/

2/

22 S

S

SS

F

F

FFnj

kSa

FFnj

a dFekFFXdFeFXππ

(6.14)

Comparând (6.10) cu (6.14), rezult\

( ) (∑∑∞

−∞=

−∞=

−=−==

kSa

kSaS

S

kFFXT

kFFXFfXFFX 1)( )

(6.15)

Rela]ia (6.15) reprezint\ leg\tura dintre spectrul X(f) al semnalului e[antionat [i spectrul Xa(F) al semnalului analogic. Spectrul semnalului e[antionat este suma repet\rilor periodice, cu perioada FS, a spectrului semnalului analogic scalat cu FS. Spectrul semnalului e[antionat mai poate fi ob]inut prin aplicarea transformatei Fourier rela]iei (6.1'), ]inând cont de teorema convolu]iei spectrelor.

)()()()()( tFfXnTtnTxFfX Tan

a δδ ∗=

−= ∑∞

−∞=

(6.16)

Spectrul distribu]iei Dirac periodice este [16]

)()(1)( FFFT

tFss FsFT δδδ == (6.17)

care este tot o distribu]ie Dirac periodic\, cu perioada Fs, `n domeniul frecven]\ [i scalat\ cu 1/T. Cu (6.17), rela]ia (6.16) devine

)(1)()(1)( sn

asn

a nFFXT

nFFFXT

fX −=−∗= ∑∑∞

−∞=

−∞=

δ (6.18)

~n figura 6.2a este prezentat spectrul Xa(F) al unui semnal de band\ nelimitat\, cu suportul extins pe toat\ axa real\; `n figura 6.2b este reprezentat\ distribu]ia Dirac periodic\ dat\ de rela]ia (6.17), iar `n figura 6.2c este reprezentat cu linie `ntrerupt\ semnalul periodic

[i cu linie continu\ spectrul semnalului e[antionat.

Dup\ cum se poate observa, oricât ar fi valoarea frecven]ei de e[antionare, implicit a perioadei de e[antionare T=1/F

(∑∞

−∞=

−k

SaS kFFXF )

s a unui semnal de band\ nelimitat\, din spectrul X(F/Fs) al semnalului e[antionat nu mai

200

Page 241: Prelucrarea digitala a semnalelor

poate fi recuperat spectrul ini]ial Xa(F) din e[antioanele sale , n . )(][ nTxnx a= Z∈

B

Figura 6.2. Efectul e[antion\rii ideale a unui semnal xa(t), cu spectrul Xa(F) de band\

nelimitat\, asupra spectrului semnalului e[antionat

6.1.2. Deducerea formulei ideale de interpolare pentru reconstruc]ia semnalelor analogice de band\ limitat\

Fie xa(t) un semnal analogic de band\ limitat\, reprezentat `n

figura 6.3a. Se observ\ c\ spectrul este zero pentru BF ≥ . Aceast\

limitare atrage dup\ sine faptul c\ xa(t) este un semnal cu suport nem\rginit `n timp. Expresia (6.15) a spectrului semnalului e[antionat r\mâne aceea[i. Pentru un ordin k lobii spectrali X(F-kFs) nu se suprapun dac\ este `ndeplinit\ condi]ia

BFs −≤ sau (6.19) sFB ≤2Dac\ frecven]a de e[antionare FS este mai mare ca 2B, semnalul

e[antionat [i spectrul s\u X(F/FS)=X(f) sunt reprezentate `n figura 6.3b. Lobii spectrali de diferite ordine nu se suprapun [i rela]ia dintre lobul central (k=0) din spectrul semnalului discret ob]inut dup\ e[antionare [i spectrul semnalului analogic este

201

Page 242: Prelucrarea digitala a semnalelor

( )

>

=

20

21

S

S

SSa FF

FFFFX

FFX (6.20)

Fig. 6.3. E[antionarea unui semnal analogic de band\ limitat\ [i eroarea alias a

componentelor spectrale `n cazul sube[antion\rii

202

Page 243: Prelucrarea digitala a semnalelor

Transformata Fourier invers\ a lui Xa(F) este

(6.21) ( ) ( )∫−

=2/

2/

2S

S

F

F

Ftjaa dFeFXtx π

~nlocuind (6.20), (6.4') [i (6.9) în (6.21), rezult\

( ) [ ]

[ ] ( )( )

( )∑∑ ∫

∫ ∑

−∞=

−∞= −

−∞

−∞=

=

=

=

na

n

F

F

FntFj

S

FtjF

F

FFnj

nSa

nTtT

nTtTnTxdFenx

F

dFeenxF

tx

S

S

S

S

S

S

π

ππ

ππ

sin1

1

2/

2/

2

22/

2/

2

(6.22)

unde ; T=1/F)(][ nTxnx a= S – perioada de e[antionare. Rela]ia (6.22) este cunoscut\ sub denumirea de formula de reconstruc]ie care implic\ func]ia de interpolare

( )t

T

tTtg

πsin (6.23)

translat\ corespunz\tor cu nT, n=0, 1, 2… [i ponderat\ cu valoarea e[antionului x

± ±a(nT). La t = kT, func]iile g(t - nT) sunt egale cu zero, cu

excep]ia func]iei g(kT-kT) =g(0), care este egal\ cu unitatea. În consecin]\, xa(t) evaluat la t = kT este chiar e[antionul xa(kT). Rela]ia (6.22) se nume[te formul\ ideal\ de interpolare.

Dac\, îns\, frecven]a de e[antionare FS1 este aleas\ astfel încât FS1<2B (sube[antionare), repetarea periodic\ a lui Xa(F) are ca rezultat suprapunerea lobilor spectrali de diferite ordine, ca în figura 6.3c. Contribu]ia suprapunerilor spectrale din figura 6.3c este reprezentat\ `n figura 6.3d. Aceasta `nseamn\ c\ spectrul X(F/FS) al semnalului discret con]ine aliasuri ale componentelor de frecven]\ ale spectrului semnalului analogic Xa(F). Suprapunerea spectrelor de la capetele domeniului fundamental de frecven]e [-FS/2;FS/2] este ilustrat\ în figura 6.3c [i d [i reluat\ `n figura 6.4, unde se observ\ p\trunderea unor “cozi” din spectrele adiacente domenilul fundamental (figurate `nnegrit `n figur\) `n domeniul fundamental de frecven]\, fapt ce determin\ eroarea alias.

Spectrul semnalului discret se ob]ine prin reflectarea spectrului

original care dep\[e[te intervalul

2;

2SS FF

în jurul acestor frecven]e,

203

Page 244: Prelucrarea digitala a semnalelor

motiv pentru care frecven]a FS/2 se nume[te frecven]\ de reflexie (folding).

Prin limitarea spectrului semnalului e[antionat la intervalul fundamental se ob]ine spectrul din figura 6.3e, care, datorit\ erorii alias, difer\ de cel al semnalului analogic `n domeniul

(figura 6.3a) [i, în consecin]\, fenomenul alias care apare

împiedic\ refacerea semnalului original x

]2/,2/[ ss FF−

]2/,2/[ ss FF−

a(t) din e[antioanele sale. (t) este semnalul ref\cut. De[i semnalul e[antionat este de band\ limitat\, ca urmare a unei frecven]e de e[antionare prea reduse, nu se mai poate reconstrui semnalul ini]ial din e[antioanele sale .

ax

),(][ ZnnTxnx a ∈=

Figura 6.4. Ilustrarea erorii alias `n jurul frecven]ei de reflexie

Frecven]a de e[antionare minim\ care permite, `nc\, reconstruirea

semnalului din e[antioanele sale, corespunde cazului `n care lobii spectrali se ating, f\r\, `ns\, a se suprapune, adic\ Fs=2B. Aceast\ frecven]\ minim\, egal\ cu dublul frecven]ei maxime din spectrul semnalului, B, se nume[te [i frecven]\ Nyquist.

Rezultatele ob]inute pân\ `n acest punct pot fi sintetizate prin teorema e[antion\rii sau teorema WKS (Whittaker, Kotelnikov, Shannon).

Teorema e[antion\rii Dac\ semnalul analogic xa(t) este de band\ limitat\, Xa(F)=0

pentru , atunci xBF >|| a(t) este unic determinat de mul]imea

e[antioanelor sale , dac\ frecven]a de e[antionare

. ~n ipotezele enun]ate, semnalul ini]ial poate fi reconstruit utilizând rela]ia de interpolare

),(][ ZnnTxnx a ∈=BFs 2≥

204

Page 245: Prelucrarea digitala a semnalelor

( ) ( )( )

( )∑∞

−∞= −

=n

aa

nTtT

nTtTnTxtx

π

πsin (6.24)

Din rela]ia (6.24) se observ\ c\ `n punctele de e[antionare to]i termenii sumei sunt nuli, cu excep]ia unuia, a c\rui valoare este egal\ cu valoarea e[antionului. ~ntre dou\ puncte de e[antionare, semnalul se reconstruie[te prin contribu]ia tuturor termenilor sumei.

6.1.3. Tehnici de reconstruc]ie a semnalului

~n paragraful precedent s-a ar\tat c\ un semnal trece jos de band\ limitat\ poate fi reconstituit far\ distorsiuni din e[antioanele sale, dac\ frecven]a de e[antionare este cel pu]in egal\ cu frecven]a Nyquist. Reconstruc]ia semnalului xa(t) a fost prezentat\ ca o problem\ de interpolare, cu ajutorul func]iei de interpolare ideale g(t), dat\ de (6.23). Ca o alternativ\, reconstruc]ia semnalului analogic din e[antioanele sale poate fi vazut\ ca un proces de filtrare liniar\ `n care semnalul discret se aplic\ unui filtru analogic. Rela]ia dintre spectrul semnalului discret [i al celui analogic de band\ limitat\ este (figura 6.3)

( )FXFFFX aSS

=

2SFF ≤ (6.25)

În acest caz nu exist\ eroare alias [i, deci, spectrul semnalului discret este identic (pân\ la un factor de scal\, FS) cu spectrul semnalului

analogic în intervalul fundamental de frecven]e 2SFF ≤ sau

21

≤f .

Acesta poate fi extras prin filtrare trece jos ideal\. Dac\ filtrul de reconstruc]ie Hr(F) are r\spunsul `n frecven]\

><

=c

cr FF

FFTFH

||,0||,

)( (6.26)

[i, dac\ frecven]a de t\iere Fc a filtrului de reconstruc]ie satisface condi]ia BFFB sc −≤≤ (6.27)

atunci spectrul semnalului ref\cut este )(ˆ FX a)/()()(ˆsra FFXFHFX = (6.28)

205

Page 246: Prelucrarea digitala a semnalelor

Dac\ se aplic\ semnalul e[antionat la intrarea filtrului ce are r\spunsul `n frecven]\ dat de (6.26) [i care respect\ condi]ia (6.27), atunci

la ie[irea sa se ob]ine semnalul care are spectrul egal cu

spectrul semnalului , care a fost e[antionat. Egalitatea spectrelor

atrage dup\ sine egalitatea aproape peste tot a semnalelor [NC]

][nx

)(ˆ txa )(ˆ FX a)(txa

...)()(ˆ tpatxtx aa = (6.29) Semnalul de la ie[irea filtrului de reconstruc]ie se ob]ine cu ajutorul opera]iei de convolu]ie `ntre semnalul e[antionat aplicat la intrarea filtrului [i func]ia pondere a filtrului de reconstruc]ie. Func]ia pondere a filtrului de reconstruc]ie este

∫−

==c

c

F

F

cFtjr t

tFTdFeTthπππ 2sin)( 2 (6.30)

Conform rela]iei (6.29), la ie[irea filtrului rezult\ semnalul analogic original

[ ] )()()()()(

)()()()()()(

nTthnTxnTtthnTx

nTtnTxthnTxthtx

rn

arn

a

narara

−=−∗

=−∗=∗=

∑∑

∑∞

−∞=

−∞=

−∞=

δ

δ (6.31)

~nlocuind (6.30) `n (6.31), rezult\

∑∞

−∞=

−∞=

−−

=−

−=

n c

c

s

ca

n

caa

nTtFnTtF

FF

nTx

nTtnTtF

TnTxtx

)(2)(2sin2

)(

)()(2sin

)()(

ππ

ππ

(6.32)

~n cazul Fs=2B, conform rela]iei (6.27), frecven]a de t\iere a filtrului de reconstruc]ie devine Fc=B=Fs/2=1/2T [i rela]ia de reconstruire (6.32) se particularizeaz\, devenind

∑∑∞

−∞=

−∞= −−

=

−=

na

naa BntB

BntBBnx

nTtT

nTtTnTxtx

)2/(2)2/(2sin

2)(

)(sin)()(

ππ

π

π

(6.33)

Se observ\ c\ pentru frecven]a de t\iere Fc=Fs/2, care este frecven]a de t\iere maxim\ posibil\ a filtrului trece jos, func]ia pondere a filtrului de reconstruc]ie este egal\ cu func]ia de interpolare ideal\. Reconstruc]ia semnalului vazut\ ca un proces de filtrare este ilustrat\ `n figura 6.5. ~n figura 6.6. se prezint\ r\spunsul `n frecven]\ [i r\spunsul la impuls al filtrului trece jos ideal.

206

Page 247: Prelucrarea digitala a semnalelor

∑∞

−∞= −−

=n

aa nTtTnTtTnTxtx

))(/())(/sin()()(

ππ∑

−∞=

−n

a nTtnTx )()( δ

FTJ ideal

Semnal de intrare

Semnal reconstruit

Figura 6.5. Reconstruc]ia semnalului ca un proces de filtrare

Figura 6.6. R\spunsul `n frecven]\ (a) [i la impuls (b) al FTJ

~n figura 6.7 se prezint\ semnalul analogic reconstruit prin suma

(6.33). Se observ\ c\ `n punctele de e[antionare to]i termenii sumei sunt nuli, cu excep]ia unuia, a c\rui valoare este egal\ cu valoarea e[antionului. ~ntre dou\ puncte de e[antionare, semnalul se reconstruie[te prin contribu]ia tuturor termenilor sumei.

Figura 6.7. Semnalul xa(t) reconstruit prin suma (6.33)

Filtrul trece jos ideal de reconstruc]ie este necauzal [i, deci, nerealizabil fizic. Reconstruc]ia ob]inut\ prin filtrare trece jos a semnalului e[antionat nu este singura posibil\. Exist\ [i alte metode de interpolare, dar ele sunt aproxim\ri, pe când rela]iile deduse pân\ acum

207

Page 248: Prelucrarea digitala a semnalelor

sunt egalit\]i. Semnalul reconstruit xr(t) nu va mai fi egal cu xa(t), ci se poate scrie doar c\ . )()( txtx ar ≈

~n continuare vor fi prezentate numai câteva tehnici practice simple, bazate pe interpolare constant\ [i liniar\, dar evident, neideale, care vor fi tratate drept opera]ii de filtrare liniar\.

Interpolarea de ordinul zero Un interpolator de ordinul zero aproximeaz\ semnalul analogic printr-o serie de impulsuri rectangulare a c\ror `n\l]ime este egal\ cu valoarea e[antionului de semnal. Figura 6.8a prezint\ aproximarea semnalului analogic xa(t) printr-o intrrpolare de ordinul zero. Dup\ cum se observ\, este un semnal `n trepte de amplitudine egal\ cu valoarea e[antionului pe care o p\strez\ T secunde. La apari]ia urm\torului e[antion, semnalul sare la urm\toarea valoare pentru T secunde [. a. m. d. Dac\ aceast\ procedur\ este v\zut\ ca filtrare liniar\, situa]ie prezentat\ `n figura 6.8b, r\spunsul la impuls al interpolatorului de ordinul zero este

)(ˆ txa

≤≤

=restinTt

th,0

0,1)( (6.34)

Acesta este prezentat `n figura 6.8c.

Figura 6.8. a) Aproximarea unui semnal analogic prin interpolare de ordinul zero, b) interpretarea nterpol\rii ca filtrare liniar\, c) r\spunsul al impuls al interpolatorului de

ordin zero R\spunsul corespunz\tor `n frecven]\ este

FTjFtjFtj eFTFTTdtedtethFH πππ

ππ −∞

∞−

−∞

∞−

− ∫∫

===

sin)()( 22 (6.35)

208

Page 249: Prelucrarea digitala a semnalelor

R\spunsurile de modul [i faz\ ale filtrului H(F) sunt date `n figura 6.9. Pentru compara]ie, r\spunsul `n frecven]\ al interpolatorului ideal este suprapus (reprezentat punctat) peste caracteristica de modul a interpolatorului de ordinul zero.

Figura 6.9. a) Caracteristica de modul b) caracteristica de faz\ a interpolatorului de

ordinul zero Se observ\ c\ interpolatorul de ordinul zero nu are o caracteristic\ cu panta de t\iere abrupt\, lucru care se datoreaz\ alurii abrupte a lui h(t). Ca urmare a acestui lucru, interpolatorul de ordinul zero permite trecerea frecven]elor alias nedorite (superioare lui Fs/2). Pentru a remedia aceast\ problem\, se obi[nuie[te a se filtra trece jos semnalul , opera]ie prin care acesta devine mai neted.

)(ˆ txa

Interpolarea de ordinul `ntâi ~nterpolarea de ordinul `ntâi aproximeaz\ semnalul xa(t) cu segmente de dreapt\, care au panta determinat\ de e[antionul curent xa(nT) [i cel precedent xa(nT-T). Ilustrarea acestei tehnici de reconstruc]ie este prezentat\ `n figura 6.10a. Rela]ia matematic\ `ntre e[antioanele de intrare [i semnalul de ie[ire este

TntnTnTtT

TnTxnTxnTxtx aaaa )1(),()()()()(ˆ +<≤−

−−+= (6.36)

209

Page 250: Prelucrarea digitala a semnalelor

Figura 6.10. a) Aproximarea unui semnal analogic prin interpolare de ordinul `ntâi b)

r\spunsul al impuls al interpolatorului de ordin `ntâi

Dac\ interpolarea de ordinul `ntâi este vazut\ ca o filtrare liniar\, r\spunsul la impuls al interpolatorului este

≤≤−

≤≤+

=

restin

TtTTt

TtTt

th

0

2,1

0,1

)( (6.37)

Figura 6.11. a) Caracteristica de modul b) caracteristica de faz\ a interpolatorului de

ordinul `ntâi Acesta este reprezentat `n figura 6.10b. R\spunsul `n frecven]\ al interpolatorului de ordinul `ntâi este

210

Page 251: Prelucrarea digitala a semnalelor

)(2/122 sin)41()( FjeFTFTTFTFH θ

ππ

π

+= (6.38)

unde faza θ + . Caracteristicile de modul [i de faz\ sunt prezentate `n figura 6.11a [i b.

)2()( FTarctgFTF ππ−=

Deoarece, dup\ cum se observ\ din figura 6.11a, [i aceast\ tehnic\ de reconstruc]ie introduce distorsiuni datorit\ trecerii componentelor de frecven]\ mai mari decât Fs/2, interpolatorul de ordinul intâi este urmat de un FTJ care s\ atenueze frecven]ele superioare frecven]ei de folding. Vârfurile din H(F) din interiorul benzii | pot fi nedorite `n multe aplica]ii, caz `n care este posibil\ modificarea r\spunsului la impuls prin reducerea pantei cu un factor , fapt ce are ca rezultat ob]inerea unui r\spuns la impuls h(t) reprezentat `n figura 6.12a. R\spunsul `n frecven]\ corespunz\tor este [PM]

2/| sFF ≤

1<β

FTFTe

FTFTFTjTfH FTj

ππ

ππ

πββ π sinsin)21(1)(

++−= − (6.39)

Acesta este reprezentat `n figura 6.12b pentru . Se observ\ reducerea vârfurilor pentru [i dispari]ia lor pentru

.

1,0,3,0,5,0 === βββ3,0=β

1,0=β

Figura 6.12. R\spunsul la impuls (a) [i caracteristica de modul (b) ale interpolatorului de ordinul `ntâi modificat

Interpolarea liniar\ cu `ntârziere Prin modul de operare a interpolatorului de ordinul `ntâi se efectueaz\ o extrapolare liniar\ sau o predic]ie liniar\ a e[antionului

211

Page 252: Prelucrarea digitala a semnalelor

urm\tor al semnalului pe baza e[antionului xa(nT) [i xa(nT-T). Ca urmare, semnalul estimat prezint\ salturi `n punctele de e[antionare. Acestea pot fi evitate prin introducerea unei `ntârzieri de un e[antion `n procesul de reconstruc]ie [i punctele de e[antionare succesive pot fi conectate prin linii drepte. Semnalul rezultat prin acest tip de interpolare este

)(ˆ txa

TntnTnTtT

TnTxnTxTnTxtx aaaa )1(),()()()()(ˆ +<≤−

−−+−=

(6.40) Aceast\ tehnic\ de interpolare este prezentat\ `n figura 6.13. Se observ\ c\ la t=nT [i la t=nT+T . )()(ˆ TnTxnTx aa −= )()(ˆ nTxTnTx aa =+

Figura 6.13. a) Aproximarea unui semnal analogic prin interpolare de ordinul `ntâi cu

`ntârziere Dac\ aceast\ tehnic\ este vazut\ ca o opera]ie de filtrare liniar\, interpolatorul liniar cu `ntârziere de T secunde are r\spunsul la impuls

<≤−

<≤

=

restin

TtTTt

TtTt

th

0

2,2

0,

)( (6.41)

R\spunsul `n frecven]\ corespunz\tor este

FTjFtj eFTFTTdtethFH ππ

ππ −∞

∞−

== ∫

22 sin)()( (6.42)

R\spunsul la impuls, caracteristica de modul [i de faz\ ale interpolatorului liniar cu `ntârziere sunt prezentate `n figura 6. 14. Se observ\ caderea abrupt\ a caracteristicii de modul [i prezen]a unor lobi

212

Page 253: Prelucrarea digitala a semnalelor

mici pentru frecven]e mai mari decât Fs. ~n plus, datorit\ `ntârzierii, caracteristica de faz\ este liniar\. Prin folosirea unui FTJ cu t\iere abrupt\ `n jurul frecven]ei de Fs/2 dup\ acest interpolator, sunt reduse componentele de frecven]\ `nalt\ din semnalul . )(ˆ txa

Figura 6.14. R\spunsul la impuls (a) [i caracteristica de modul (b) ale interpolatorului de ordinul `ntâi cu `ntârziere

Exemplul 6.1. S\ se determine spectrul semnalului analogic aperiodic

0,)( >= − aetx taa [i spectrul semnalului discret ob]inut prin e[antionarea

uniform\ a semnalului analogic. Solu]ie. Spectrul semnalului analogic este

2220

2

0

2

0

20

222

42

21

21

)()(

Faa

FjaFjadteedtee

dteedteedteedtetxFX

FtjatFtjat

FtjatFtjatFtjtaFtjaa

πππππ

ππππ

+=

++

−=+=

=+===

∫∫

∫∫∫ ∫∞

−−∞

∞−−

∞−

−−∞

∞−

∞−

−−

(6.43) Presupunând semnalul analogic e[antionat cu frecven]a de

e[antionareT

Fs1

= , se ob]ine semnalul discret

naTnaTa eenTxnx )()(][ −− === (6.44)

Spectrul semnalului discretizat prin e[antionare este

213

Page 254: Prelucrarea digitala a semnalelor

s

aTaT

aT

aTaT

aT

n

fnjnaT

n

fnjnaT

n

fnjnaT

n

fnj

s

FFee

efee

eee

eeeeenxfXFFX

πππ

πππ

2cos21

12cos21

1)(

)()(][)(

2

2

2

2

0

2

122||2

−−

−−

−∞

=

−−

−∞=

−−−∞

−∞=

−−∞

−∞=

−+

−=

−+−

=+

+====

∑∑∑

(6.45)

Acesta este periodic de perioad\ Fs, datorit\ termenului sFF

π2cos .

Spectrul fiind de band\ nelimitat\, eroarea alias nu mai poate fi

evitat\. Conform rela]iei (6.18), spectrul semnalului reconstituit este

)(FX a)(ˆ txa

=>

=≤−+−

=

=−+

=−−

−−

TF

FT

FF

FTeeeT

FFee

eF

FX

s

saTaT

aTs

aTaT

aT

s

a

21

2,0

21

2,

2cos21)1(

2cos21

11

)(ˆ

2

2

2

2

π

π

(6.46)

Comparând spectrul semnalului nee[antionat (rela]ia (6.43)) cu cel al semnalului e[antionat (rela]ia (6.46)), rezult\ c\ acestea pot s\ difere destul de mult, pentru o frecven]\ de e[antionare aleas\ neadecvat. ~n figura 6.15a se prezint\ semnalul analogic original xa(t) [i spectrul s\u pentru a=1. Semnalul e[antionat [i spectrul X(F/F][nx s), pentru Fs=1Hz sunt date `n figura 6.15b. Se observ\ distorsiunea de tip alias ce apare `n domeniul frecven]\ [i diferen]a dintre semnalul ref\cut , reprezentat `n figura 6.15c, pentru F

)(ˆ txas=1Hz [i semnalul original. Prin cre[terea

frecven]ei de e[antionare, se pot reduce substan]ial distorsiunile alias, situa]ie reprezentat\ `n figura 6.15d, pentru freven]a de e[antionare Fs=20 Hz. ~ntadev\r, dac\ `n rela]ia (6.46) T este considerat suficient de mic, astfel `ncât | , num\r\torul [i numitorul pot fi descompuse `n puteri ale

lui T pân\ la ordinul doi, pentru

1|2 <<aT

TF

21

≤ ob]inându-se

214

Page 255: Prelucrarea digitala a semnalelor

)21)(211(2)221(1

)]221(1[2cos21

)1()(

2222222

22

2

2

TFTaaTTaaT

TaaTTFTee

eTFX aTaT

aT

a

π

π

−+−−+−+

+−−≈

≈−+−

= −−

(6.47)

unde, pentru 1<<ϕ , s-au folosit

aproxima]iile: .211cos;

211 22 ϕϕϕϕϕ −≈++≈e

Neglijând termenii de ordin mai mare ca doi `n (6.47), se ob]ine

22222222

2

2222222

42

42

4222222)(

Faa

TFTaaT

TFTaaTTaaTaTFX a

ππ

π

+=

+=

=+−+−+−

≈ (6.48)

Pentru acest caz particular s-a ar\tat c\ spectrul semnalului reconstituit se apropie de spectrul semnalului analogic de band\ nelimitat\, dac\ frecven]a de e[antionare cre[te suficient de mult.

Figura 6.15. (a) Semnalul analogic xa(t) [i spectrul s\u Xa(F) pentru a=1; (b)

[i spectrul s\u pentru a=1 [i F)(][ nTxnx a= s=1 Hz; (c) semnalul reconstruit

pentru F

)(ˆ txas=1Hz; (d) semnalul reconstruit pentru F)(ˆ txa s=20Hz.

215

Page 256: Prelucrarea digitala a semnalelor

6.1.4. Erori `n e[antionarea ideal\ a unui semnal analogic ~n acest paragraf se vor considera numai erorile determinate de suprapunerea lobilor spectrali. ~n figura 6.16 este prezentat un semnal de band\ nelimitat\, e[antionat cu frecven]a Fs=1/T. Lobii spectrali se `ntrep\trund, astfel `ncât, filtrând cu un FTJ ideal cu frcven]a de t\iere Fc=Fs/2, `n banda filtrului nu intr\ numai o parte din lobul central, ci [i "cozi" spectrale ale lobilor vecini.

Figura 6.16. Semnalul de band\ nelimitat\ are lobii `ntrep\trun[i. In intervalul de filtrare

intr\ contribu]ia tuturor lobilor spectrali Din figura 6.3 se observ\ c\ [i `n cazul semnalelor de band\ limitat\ pot ap\rea asemenea efecte, prin care "cozi" spectrale ale lobilor vecini intr\ `n intervalul spectral de filtrare, determinând fenomenul de aliere sau eroare alias. Eroarea alias este definit\ cu rela]ia

)()()()(ˆ)()( thnTxtxtxtxte raaaaa ∗−=−= (6.49)

216

Page 257: Prelucrarea digitala a semnalelor

Evaluarea acestei erori se efectueaz\ pentru semnalul , al c\rui spectru X

)()( FXtx aa →←

a(F) are suportul nem\rginit, utilizând rela]iile de refacere a semnalului.

dueeuXdFeFX

enFFXdFeFXte

tFjnutjFn

Fna

n

Ftja

FtjF

F nsa

Ftjaa

ss

s

s

s

πππ

ππ

222/)12(

2/)12(

2

22/

2/

2

)()(

)()()(

∫∑∫

∫ ∑∫+−

−−

−∞=

∞−

−∞=

∞−

=−−=

(6.50)

Cu transformarea n [i u [i exprimarea primei integrale ca o sum\ de integrale, rela]ia (6.50) devine

n−→ F→

dFeFXe

dFeeFXdFeeFXte

FtjFn

Fna

n

tFjn

tFjnFtjFn

Fna

n

tFjnFtjFn

Fna

na

s

s

s

ss

s

ss

s

ππ

ππππ

22/)12(

2/)12(

2

222/)12(

2/)12(

222/)12(

2/)12(

)()1(

)()()(

∫∑

∫∑∫∑+

−∞=

−+

−∞=

+

−∞=

=−=

(6.51) inând seama de faptul c\ , modulul erorii poate fi majorat, ob]inându-se

2|1| 2 ≤− − tFjn se π

dFeFXFXte Ftja

Fn

Fna

na

s

s

π22/)12(

2/)12(

)(2|)(|2|)(| ∫∫∑∞

∞−

+

−∞=

=≤ (6.52)

Rela]ia (6.51) se poate exprima `n forme alternative care permit stabilirea unor margini superioare mai fine decât (6.52) pentru modulul erorii.

dFeFXe

dFeFXete

FtjFn

Fna

n

tFjn

FtjFn

Fna

n

tFjna

s

s

s

s

s

s

ππ

ππ

22/)12(

2/)12(1

2

22/)12(

2/)12(

12

)()1(

)()1()(

∫∑

∫∑+

=

+

−∞=

+−=

(6.53)

Efectuând `n prima sum\ schimbarea de indice n=-k, inversarea limitelor integralei [i revenind apoi la indicele n, se ob]ine

dFeFXe

dFeFXete

FtjFn

Fna

tFjn

FtjFn

Fna

n

tFjna

s

s

s

s

s

s

ππ

ππ

22/)12(

2/)12(

2

22/)12(

2/)12(1

2

)()1(

)()1()(

∫∑+−

−−

+

=

−−=

(6.54)

217

Page 258: Prelucrarea digitala a semnalelor

Efectuând `n a doua integral\ schimbarea de variabil\ F=-u [i revenind apoi la variabila F se ob]ine

])()()[sin(2

)]()(

)([))sin(2()(

)2/(2)2/(22/)12(

2/)12(1

22/)12(

2/)12(

2

22/)12(

2/)12(1

dFeFXeFXtFnj

dFeFXe

dFeFXetFnjte

tFsFja

tFsFjFn

Fna

ns

FtjFn

Fna

tFjn

FtjFn

Fna

n

tFjnsa

s

s

s

s

s

s

s

s

−−−+

=

−+

+

=

−−=

=−−+

+=

∫∑

∫∑

ππ

ππ

ππ

π

π

(6.55) Exprimând transformata Fourier Xa(F) sub forma

)(|)(|)( Fjaa eFXFX θ= (6.56)

[i ]inând cont c\ | este o func]ie par\, iar θ impar\, rela]ia (6.55) devine

|)(FX a )(F

](|)(|)[sin(2)( )]()2/(2[)]()2/(2[2/)12(

2/)12(1dFeeFXtFnjte FtFsFjFtFsFj

Fn

Fna

nsa

s

s

θπθππ −−−+−+

=

−= ∫∑ (6.57)

Prin major\ri succesive `n rela]ia (6.57) se poate ajunge la diferite expresii ale marginii superioare ale erorii alias. 6.1.5. E[antionarea ideal\ a semnalelor analogice periodice Se consider\ un semnal periodic, de perioad\ Tp, al c\rui spectru se `ntinde pân\ la a N-a armonic\ (cea mai mare frecven]\ din spectrul semnalului periodic este NF0, unde F0=1/T este frecven]a fundamental\). Un astfel de spectru este prezentat `n figura 6.17a. Dac\ se e[antioneaz\ semnalul cu frecven]a Fs, aflat\ `n rela]ie armonic\ cu fundamentala, Fs=(M/K)F0, , semnalul e[antionat r\mâne periodic. Aceast\ e[antionare se nume[te de tip "corelat".

NKM ∈,

Dac\ xp(t)=xp(t+T), , k=-N,…,0,…N, atunci )( kp ctx ↔

∑−=

−=N

Nkkp kFFcFX )(2)( 0δπ (6.58)

Dup\ e[antionarea corelat\ cu frecven]a Fs=1/T, se ob]ine semnalul discret

)()(][ ttxnx Tpp δ= (6.59)

al c\rui spectru este

218

Page 259: Prelucrarea digitala a semnalelor

∑∞

−∞=

−−=

=∗==

k

TpTps

p

FKMnkFF

T

tFFXttxFFFX

)(1

)()()()(

00δ

δδ (6.60)

Din rela]ia (6.60) se constat\ c\ spectrul semnalului discret ob]inut prin e[antionarea semnalului periodic este o repetare periodic\, cu perioada (M/K)F0 a spectrului de linii al semnalului periodic, scalat corespunz\tor. ~n figura 6.17b este prezentat spectrul semnalului periodic e[antionat.

kc

Figura 6.17. Spectrul unui semnal periodic (a), spectrul semnalului peridic e[antionat

corelat (b)

Lobul spectral corespunz\tor lui n=0 se `ntinde pe semiaxa pozitiv\ a frecven]elor pân\ la NF0, c\reia `i corespunde termenul

. Cea mai mic\ frecven]\ a unei componente spectrale din lobul corespunz\tor lui n=1 este (((M/K)-N)F

)(2 0NFFcN −δπ

2 c N− δπ0, c\reia `i corespunde

termenul . Lobii spectrali corespunz\tori lui n=0 [i n=1 nu se suprapun dac\

))/(( 00 FKMNFF −+

NKMFN

KMNF 2;00 >

−< (6.61)

Dac\ toate e[antioanele se prelucreaz\ `n aceea[i perioad\ a semnalului (K=1), condi]ia (6.61) devine M>2N, adic\ num\rul de e[antioane prelevate trebuie s\ fie un `ntreg mai mare decât 2N; . 12 +≥ NM

219

Page 260: Prelucrarea digitala a semnalelor

Teorema e[antion\rii semnalelor periodice (1) Dac\ semnalul xp(t), periodic, de perioad\ Tp, F0=1/Tp, are spectrul limitat la a N-a armonic\, atunci semnalul poate fi unic determinat din

e[antioanele sale 10)( −≤≤ MkkTx sp , prelevate `n decursul unei

singure perioade Tp a fundamentalei, dac\ . La limit\, din fundamental\ se preleveaz\ trei e[antioane. Dac\ cea mai mare frecven]\ din spectrul semnalului este B=NF

12 +≥ NM

0, atunci condi]ia de e[antionare f\r\ erori devine

000 )12(2 FNFBMFFs +=+≥= (6.62) Se observ\ ca Fs este un multiplu `ntreg al lui F0. Diagrama spectral\ din figura 6.17 ilustreaz\ e[antionarea corelat\ (Fs=MF0) a unui semnal periodic cu spectrul limitat. Pentru ca grupurile spectrale ale coeficien]ilor s\ nu se suprapun\, este necesar ca Fs s\ fie la limit\ (2N+1)F0. ~n rest, frecven]a de e[antionare poate fi mai mare, dar, pentru p\strarea periodicit\]ii [i dup\ e[antionare, este necesar ca Fs s\ fie `n rela]ie armonic\ cu F0. Dac\ Fs [i F0 sunt `n rela]ie armonic\,

1,0 ≠= KFKMFs , teorema e[antion\rii poate fi reformulat\.

Teorema e[antion\rii semnalelor periodice (2) Dac\ semnalul xp(t), periodic, de perioad\ Tp, F0=1/Tp, are spectrul limitat la a N-a armonic\, atunci semnalul poate fi unic determinat din

e[antioanele sale [ ] 1/0)( −≤≤ KMkkTx sp , prelevate `n decursul unei

singure perioade Tp a fundamentalei, dac\ M>2KN. Din fundamental\ se preleveaz\ cel pu]in dou\ e[antioane distan]ate la mai pu]in de T/2, altfel ajungându-se la cazul e[antion\rii zerourilor fundamentalei. E[antionarea semnalelor periodice de spectru limitat poate fi realizat\ [i `n urm\torul mod: `n loc de a se re]ine câte un e[antion la Tp/M secunde `ntr-o perioad\ fundamental\, se pot preleva e[antioane adiacente din perioade succesive, situa]ie ilustrat\ `n figura 6.18, unde incrementul pasului de e[antionare s-a considerat Tp/M.

MTTT pp /+= (6.63)

Figura 6.18. E[antionarea unui semnal periodic de perioad\ Tp cu pasul T ,

unde .

tTp ∆+=

NMMTt p ∈=∆ ,/

220

Page 261: Prelucrarea digitala a semnalelor

~n acest caz prelucrarea dureaz\ M perioade. Este posibil\ [i o e[antionare mai rar\, prin prelevarea e[antioanelor adiacente la un interval de K perioade Tp plus incrementul Tp/M, adic\

MTKTT pp /+= (6.64)

~n acest caz prelevarea celor M e[antioane dureaz\ KMTp secunde, adic\ KM perioade. Semnalul rezultat prin e[antionare are componente spectrale foarte apropiate de zero, cu atât mai apropiate cu cât K este mai mare. Principiul de e[antionare prezentat este folosit `n osciloscoapele cu e[antionare, unde frecven]a semnalului este coborât\ prin e[antionare dup\ rela]ia (6.64) la valori la care se pot utiliza amplificatoare obi[nuite (cele de band\ foarte larg\ sunt mai dificil de construit).

Figura 6.19. Spectrul unui semnal periodic (a), spectrul ob]inut prin e[antionarea unui

semnal periodic cu respectarea teoremei e[antion\rii (b) [i (c) [i f\r\ respectarea teoremei e[antion\rii (d), (e), (f).

221

Page 262: Prelucrarea digitala a semnalelor

~n figura 6.19 sunt prezentate spectrele ob]inute prin e[antionarea unui cosinusoide de frecven]\ F0. S-a considerat numai cazul e[antion\rii corelate, cu Fs=(M/K)F0. ~n figura 6.19b [i c sunt date spectrele ob]inute pentru Fs=4F0>2F0 [i Fs=3F0>2F0. ~n banda de trecere a filtrului de reconstruc]ie, cu frecven]a de t\iere Fc, intr\ numai o pereche de linii spectrale, astfel c\ semnalul ini]ial poate fi reconstruit din e[antioanele sale. Componenta din stânga s-a figurat cu linie `ntrerupt\. Dac\ se e[antioneaz\ cu F

2/0 sc FFF ≤≤

s=2F0, far\ a respecta teorema e[antion\rii semnalelor periodice, care cere strict inegalitatea Fs>2F0 ([i nu admite egalitatea ca `n cazul semnalelor aperiodice) apare fenomenul de suprapunere a lobilor spectrului, adic\ o linie din stânga este suprapus\ peste o linie din dreapta. Pentru frecven]e Fs<2F0, se prezint\ dou\ cazuri. Din figura 6.19e se observ\ c\ pentru Fs=(3/2)F0 lobii spectrali de diverse ordine se `ntrep\trund. Pentru Fs=F0 (figura 6.19f) se produce din nou supapunerea liniilor spectrale. ~n concluzie, refacerea semnalului periodic ini]ial din e[antioanele sale, folosind un FTJ ideal, se poate realiza numai dac\ Fs>2F0. 6.2. E[antionarea semnalelor discrete 6.2.1. Spectrul semnalului discret e[antionat

~n prelucrarea numeric\ a semnalelor exist\ situa]ii `n care, pentru a cre[te viteza de lucru, se impune reducerea frecven]ei semnalelor. Acest lucru se realizeaz\ prin e[antionarea semnalelor discrete, care const\ `n re]inerea e[antioanelor acestuia la intervale care sunt un multiplu al unui `ntreg pozitiv M.

Fie semnalul δ , care este un tren de impulsuri cu perioada M. ][nM

∑∞

−∞=

−=k

M kMnn ][][ δδ (6.65)

~n spa]iul semnalelor discrete acesta este echivalent distribu]iei Dirac periodice din spa]iul semnalelor analogice, dar, spre deosebire de aceasta, este o func]ie obi[nuit\. Dac\ este un semnal discret, atunci semnalul discret e[antionat

este

][nx][1 nx

±±=

=restin

MMnnxnx

0...2;;0],[

][1 (6.66)

Acesta se ob]ine prin produsul

222

Page 263: Prelucrarea digitala a semnalelor

Figura 6.20. Semnalul discret (a), semnalul δ (b), semnalul discret e[antionat (c) [i semnalul discret e[antionat [i decimat (d)

][nx ][nM

∑∑∞

−∞=

−∞=

−=−==kk

M kMnkMxkMnnxnnxnx ][][][][][][][1 δδδ (6.67)

~n figura 6.20a este prezentat semnalul discret , `n figura 20b -

semnalul periodic δ , iar `n figura 6.20c - semnalul discret e[antionat cu pasul M=3. Acesta con]ine câte dou\ valori nule (`n general M-1) `ntre dou\ valori prelevate din . Se presupune c\ restric]ia la

perioada principal\ a spectrului X(ω) are suportul [ , cu

. Spectrul semnalului δ este

][nx][nM ][1 nx

]][nx

, MM ωω−πω <M ][nM

MnF s

kssM

πωωωδωδ

2,)(][ =−= ∑∞

−∞=

(6.68)

Aplicând teorema produsului semnalelor (teorema convolu]iei circulare `n domeniul spectrului) rezult\ spectrul semnalului e[antionat, . )(1 ωX

∑∞

−∞=

=−⊗==k

ssM Mk

MXnnxFX π

ωωωδπ

ωπ

δω2);(2)(

21][][)(1 (6.69)

Convolu]ia circular\ se efectueaz\ pe o perioad\ de lungime . Suportul distribu]iei Dirac δ − din acest interval se reduce la un

π2)( skωω

223

Page 264: Prelucrarea digitala a semnalelor

punct , `n condi]ia sau skωω = πω 20 <≤ sk ππ 220 <≤Mk

)(1 ωX

, adic\

. Drept urmare, indicele k din (6.69) se limiteaz\ la [i spectrul semnalului discret e[antionat reprezint\

prelungirea prin periodicitate a restric]iei la perioada principal\

M1−M

k <≤00 ≤≤ k

∑ ∑−

=

=1

0

1)M

ks X

π2

)() 1 ωω Xs =+

M/2πM

Ms /2π

=;) sω

(ωrX

= (1) rXM)(ωrX

)(ωX

M

1 (rX ω

)(ωX

=

−−∗1

0

2(()M

ksr M

kk πωωωδω (6.70)

unde reprezint\ restric]ia la aceea[i perioad\ principal\ a lui .

Deoarece este periodic de perioad\ , din (6.70) se observ\ c\

prelungirea prin periodicitate cu perioada a lui este [i

periodic\ de perioad\ ω , .

π2 )

s (1 ωX~n figura 6.21a s-a reprezentat spectrul semnalului discret ,

periodic de perioad\ , iar `n figura 6.21b, spectrul semnalului e[antionat, periodic de perioad\ , pentru M=3. Lobul spectral k=0 se `ntinde pe semiaxa ω pân\ la ω . Primul lob vecin axat pe ω are

frecven]a minim\ ω − . Condi]ia de evitare a suprapunerii lobilor

spectrali este ω adic\

)(ωX

s

π2

0>

Mω−s

sω≤

Ms ωω 2≥ , ω = (6.71) Rela]ia (6.71) este asem\n\toare cu (6.19) stabilit\ pentru semnale analogice.

Figura 6.21. Spectrul unui semnal discret (a) [i spectrul semnalului discret e[antionat pentru M=3 (b) cu respectarea rela]iei (6.71)

224

Page 265: Prelucrarea digitala a semnalelor

Cum , unde este pulsa]ia maxim\ a semnalului analogic din care provine , iar T – pasul de e[antionare a semnalului analogic, rezult\

MM TΩ=ω BM π2=Ω][nx

MTTTMTMM

≤Ω

≤ ';'; ππ (6.72)

Aceasta `nseamn\ c\ semnalul discret poate fi e[antionat cu pasul M f\r\ s\ apar\ suprapunerea lobilor spectrali dac\ semnalul analogic ar fi putut s\ fie e[antionat cu perioada T'=MT, respectându-se teorema WKS. ~n aceste condi]ii, semnalul analogic a fost, ini]ial, suprae[antionat. Dac\ (6.71) nu este satisf\cut\, apare suprapunerea lobilor spectrali vecini, situa]ie prezentat\ `n figura 6.22. Erorile care apar sunt de tip alias [i semnalul discret ini]ial nu mai poate fi recuperat din spectrul semnalului discret e[antionat.

][nx

Figura 6.22. Spectrul unui semnal discret (a) [i spectrul semnalului discret e[antionat

f\r\ respectarea rela]iei (6.71), adic\ ω (b) MMs ωω <−

6.2.2. Reconstruirea semnalului discret din e[antioanele sale

Dac\, dup\ e[antionare, lobii spectrali ai semnalului e[antionat nu se suprapun, este posibil\ reconstruirea semnalului ini]ial din e[antioanele sale prin filtrare trece jos ideal\, efectuat\ cu un filtru de

reconstruc]ie , dup\ cum rezult\ din figura 6.23.

][1 nx)(ωrH

225

Page 266: Prelucrarea digitala a semnalelor

Figura 6.23. Reconstruirea semnalului discret din semnalul discret e[antionat prin filtrare

trece jos ideal\ cu ω = 2/sc ω R\spunsul `n frecven]\ al filtrului este periodic de perioad\ [i are expresia

π2

−≤≤≤−

= MscMc

r

kNH ωωωω

ωπωω ;

restin,0|2|,

)( (6.73)

R\spunsul la impuls al filtrului de reconstruc]ie este

Mnnnh s

cc

cr

πωω

ωω

===2

;sin][ (6.74)

Semnalul filtrat este )(ωrX)()()( 1 ωωω XHX rr = (6.75)

Semnalul ref\cut este

∑∑∞

−∞=

−∞= −−

=−=∗=kk

rrr knMknMkMxknhkxnxnhnxππππ

)/(])/sin[(][][][][][][ 11

(6.76) deoarece pentru [i . 0][1 =kx Mnk ≠ ][][1 kMxkMx =

226

Page 267: Prelucrarea digitala a semnalelor

6.2.3. Decimarea unui semnal discret

Dup\ e[antionarea unui semnal discret rezult\ un semnal `n care, `ntre dou\ valori re]inute, sunt intercalate M-1 zerouri care nu aduc nici o informa]ie despre semnalul care a fost e[antionat. Acestea pot fi omise, rezultând un nou semnal, denumit "decimatul" semnalului e[antionat, notat . Din semnalul decimat se poate reconstrui semnalul nedecimat prin inserarea a M-1 zerouri `ntre dou\ valori consecutive. ~n figura 6.20d este reprezentat semnalul rezultat prin

decimarea semnalului discret e[antionat . ~ntre semnalul discret decimat [i cel e[antionat exist\ rela]ia

][nx

][nxD

][nxD][1 nx

(6.77) ][][ 1 nMxnxD =unde M este factorul de decimare, num\r natural. Factorul de decimare poate fi [i ra]ional pozitiv, dar acest lucru nu face obiectul paragrafului de fa]\, ci al domeniului referitor la prelucrarea multirate a semnalelor. Spectrul semnalului decimat se determin\ aplicând transformata Fourier `n timp discret

===

===

∑∑

∑∞

−∞=

−∞

−∞=

−∞=

MXenxenMx

enxnxFX

n

Mnj

n

nj

n

njDDD

ω

ω

ωω

ω

1/

11 ][][

][][)( (6.78)

inând seama de (6.70), rela]ia (6.78) conduce la restric]ia lui la perioada principal\

)(ωDX

∑−

=

=1

0

21)(M

krDr M

kXM

X πωω (6.79)

Se observ\ periodicitatea de perioad\ 2 a spectrului semnalului

e[antionat [i decimat. Pentru k=0, lobul central

π

M

XM

ω1 se anuleaz\ la

argumentul MMω

ω= , deci ω = . Prin urmare, lobii spectrali ai lui

au `ntinderea de M ori mai mare decât a lobilor semnalului ini]ial. ~n figura 6.24 sunt ilustrate spectrul semnalului ini]ial , spectrul

semnalului e[antionat cu M=2, , [i spectrul semnalului ob]inut

dup\ decimarea semnalului e[antionat .

MMω

(1 ωX

)(ωDX)(ωX

)X )ω(D

227

Page 268: Prelucrarea digitala a semnalelor

Figura 6.24. Spectrul semnalului discret (a), spectrul semnalului discret e[antionat (b) [i

spectrul semnalului decimat (c).

6.3. E[antionarea spectrului unui semnal analogic aperiodic de durat\ finit\

A[a cum s-a specificat `n paragraful 4.1.2, semnalele analogice aperiodice, de energie finit\ au spectrul continuu. ~n cele ce urmeaz\, se consider\ e[antionarea periodic\ a spectrului unui astfel de semnal, urm\rindu-se apoi refacerea semnalului din e[antioanele prelevate echidistant din spectrul s\u. Fie xa(t) semnalul analogic aperiodic al c\rui spectru continuu este Xa(F). Se presupune c\ se preleveaz\ e[antioane din Xa(F) distan]ate la δF Hertzi, ca în figura 6.25. Se pune apoi problema refacerii lui Xa(F) sau, echivalent, xa(t) din e[antioanele Xa(kδF); . Zk∈ Din punct de vedere matematic, aceast\ problem\ este dual\ e[antion\rii unui semnal continuu în domeniul timp. ~n urma e[antion\rii spectrului continuu

( )∫∞

∞−

−= dtetxFX Ftjaa

π2)( (6.80)

se ob]ine

(6.81) ( ) ( )∫∞

∞−

−= dtetxFkX Ftkjaa

δπδ 2

228

Page 269: Prelucrarea digitala a semnalelor

Se define[te perioada de e[antionare

FS δ1

=T (6.82)

Cu (6.82), rela]ia (6.81) devine

( ) ( )∫∞

∞−

= dtetxFkX STtkj

aa

π

δ2

(6.83)

care este analog\ rela]iei (6.7) pentru e[antionarea în domeniul timp. Ca [i în cazul men]ionat, domeniul de integrare se împarte într-o sum\ infinit\ de domenii de integrare, de l\]ime TS, [i se efectueaz\ schimbarea de variabil\, astfel încât fiecare interval s\ fie translat în domeniul

fundamental 22SS TtT

≤≤− . Aceasta conduce la

( ) ( ) dtenTtxFkX SS

S

TtkjT

T nSaa

π

δ22/

2/

−∞=∫ ∑

−= (6.84)

care este dual\ rela]iei (6.14).

F kδF 0

Xa(kδF)

Xa(F)

δF

Fig 6.25. E[antionarea uniform\ a spectrului unui semnal analogic aperiodic

Semnalul

(6.85) ( ) ( )∑∞

−∞=

−=n

Sap nTtxtx

este periodic, de perioad\ FS δ1

=T [i, deci, poate fi descompus în serie

Fourier

(6.86) ( ) ∑∞

−∞=

=k

Ftkjkp ectx δπ2

229

Page 270: Prelucrarea digitala a semnalelor

unde ( )∫−

−=2/

2/

21 S

S

T

T

Ftkjp

Sk etxT

c δπ (6.87)

Comparând (6.87) cu (6.84), rezult\

( ) ( FkFXFkXT

c aaS

k δδδ ==1 ) (6.88) Zk∈

Din (6.88) se observ\ c\ e[antioanele spectrului Xa(F) corespund (pân\ la un factor de scal\, δF) coeficien]ilor Fourier ai semnalului

periodic xp(t), de perioad\ FS δ1

=T , dat de (6.85) [i reprezentat în figura

6.26.

(a)

0

Ts<2τ

Ts -Ts -τ τ t

xp(t)

Ts>2τ

Ts -Ts

(b)

-τ τ t

xp(t)

-τ τ t

xa(t)

Fig 6.26. (a) Semnal aperiodic de durat\ finit\, (b) semnalul periodic rezultat din e[antionarea spectrului unui semnal de energie finit\ limitat `n timp; (c) ilustrarea

aliasingului `n domeniul timp

(c)

Din figur\ se observ\ c\ refacerea semnalului xa(t) din xp(t) este posibil\ dac\ xa(t) este limitat în timp la τ≤t (adic\ xa(t) = 0 pentru

τ>t , unde 2ST<τ ). Dac\

2ST>τ , nu este posibil\ refacerea exact\ a lui

xa(t), datorit\ suprapunerilor semnalului în domeniul timp.

230

Page 271: Prelucrarea digitala a semnalelor

Dac\ semnalul analogic xa(t) este limitat în timp la τ [i

e[antionarea spectrului se realizeaz\ cu o perioad\ T , nu exist\ eroare alias, iar spectrul semnalului poate fi ref\cut f\r\ pierderi din e[antioanele X

2/sT≤τ2>S

a(kδF), utilizând formula de interpolare

( ) ( )( )

( )∑∞

−∞= −

=k

aa

FkFF

FkFF

FkXFXδ

δπ

δδπ

δsin

(6.89)

care este dual\ rela]iei (6.22). Cele prezentate în acest paragraf au în primul rând importan]\ teoretic\, deoarece în cazurile practice, semnalul analogic este transformat în semnal discret, iar e[antionarea în domeniul frecven]\ se efectueaz\ asupra spectrului semnalului discretizat. Acest lucru face obiectul paragrafului urm\tor.

6.4. E[antionarea spectrului unui semnal discret de durat\ finit\

Semnalele discrete aperiodice, de energie finit\ au spectrul continuu [i periodic. Fie un astfel de semnal cu transformata Fourier:

][nx

(6.37) ( ) [ ]∑∞

−∞=

−=n

njenxX ωω

ω 2π

X(kδω)

0

X(ω)

δω

Fig. 6.27. E[antionarea spectrului unui semnal discret aperiodic

Se e[antioneaz\ X(ω) la intervale echidistante, egale cu δω radiani între dou\ e[antioane succesive. Deoarece X(ω) este periodic de perioad\ 2π, sunt necesare numai e[antioanele din intervalul fundamental de frecven]\.

231

Page 272: Prelucrarea digitala a semnalelor

Se consider\ N e[antioane echidistante în intervalul fundamental

spa]iate la πω 20 <≤Nπ2

=δω , ca în figura 6.27.

Se evalueaz\ (6.90) la Nk

π2=ω , ob]inându-se

[ ]∑∞

−∞=

−=

n

Nnkj

enxkN

Xππ 22

k = 0,1…N-1 (6.91)

Suma din (6.91) se împarte într-un num\r infinit de sume, fiecare con]inând N termeni

[ ] [ ] [ ]

[ ]∑ ∑

∑∑∑∞

−∞=

−+

=

=

−−

=

−−

−=

=

=++++=

m

NmN

mNn

Nnkj

N

Nn

NnkjN

n

Nnkj

Nn

Nnkj

enx

enxenxenxkN

X

1 2

12 21

0

21 2....2

π

ππππ

(6.92)

Efectuând schimbarea de variabil\ n=p+mN, schimbând ordinea de sumare [i apoi revenind la indicele k, rezult\

[ ]∑ ∑−

=

−∞

−∞=

−=

1

0

22 N

n

Nnkj

memNnxk

NX

ππ

(6.93)

Semnalul (6.94) [ ] [ ]∑∞

−∞=

−=m

p mNnxnx

ob]inut prin repetarea lui la fiecare N e[antioane este, evident, periodic, de perioad\ N [i poate fi dezvoltat în serie Fourier

][nx

[ ] ∑−

=

=1

0

2N

k

Nnkj

kp ecnxπ

n = 0,1,2…N-1 (6.95)

cu coeficien]ii

[ ]∑−

=

−=

1

0

21 N

n

Nnkj

pk enxN

k = 0,1…N-1 (6.96)

Comparând (6.96) cu (6.93), rezult\

= kN

XN

ckπ21

k = 0,1…N-1 (6.97)

[i, deci

[ ] ∑−

=

=

1

0

221 N

k

Nnkj

p ekN

XN

nxππ

(6.98)

232

Page 273: Prelucrarea digitala a semnalelor

Rela]ia (6.98) permite ob]inerea semnalului periodic din

e[antioanele spectrului X(ω), dar nu implic\ refacerea lui X(ω) sau din e[antioanele spectrului. Pentru a ar\ta aceasta, trebuie considerat\ rela]ia între [i . Dac\ este repetarea periodic\ a lui

ca în rela]ia (6.94), atunci se poate reface din dac\ nu exist\

suprapunere (eroare alias) în domeniul timp, adic\ dac\ este limitat

în timp la mai pu]in de perioada N a lui .

][nxp][nx

][nx][nx ][nxp ][nxp][nx ][nxp

[x ]n][nxp

Acest lucru este ilustrat în figura 6.28, unde s-a considerat secven]a de durat\ finit\ , diferit\ de zero în intervalul . Se observ\ c\, dac\ N L ,

][nx 10 −≤≤ Ln≥

= xx (6.99) 10],[][ −≤≤ Nnnn p

astfel încât se poate reface din f\r\ eroare. ][nx ][nxp

Fig. 6.28.a) Secven]\ aperiodic\ de lungime L, b) repetarea sa periodic\ pentru N≥L (f\r\ eroare alias, c) cazul N<L (eroare alias)

LN ≥

N<L

• • • • • • • •

• • • • • • • •

(c) N

• • • • xp[n]

n -N 0

(a)

x[n]

n 0

• • • • • L • • • • • •

(b)

N • • • • • • • •

• • • • • • • • • • • •

n 0

• • • • • L • • •

• xp[n]

• •

Dac\ îns\, N<L, nu este posibil\ refacerea lui din

datorit\ erorii alias în domeniul timp.

][nx ][nxp

233

Page 274: Prelucrarea digitala a semnalelor

În concluzie, spectrul unui semnal discret aperiodic de durat\ L poate fi exact ref\cut din e[antioanele sale prelevate la frecven]ele

Nk

ω2

=

][nxp

, dac\ . Procedeul este urm\torul: întâi se calculeaz\

, n=0,1,…N-1 din (6.98), apoi se define[te func]ia

LN ≥

(6.100) [ ] [ ] −≤≤

=restinNnnx

nx p

010

[i, în final, se calculeaz\ X(ω) cu (6.90). Ca [i în cazul semnalelor analogice, este posibil a se exprima

spectrul X(ω) direct în func]ie de e[antioanele sale

NkX π2

, k =

0,1,…N. Se presupune LN ≥ [i

[ ] ∑−

=

=

1

0

221 N

k

Nnkj

ekN

XN

nxππ

; (6.101) 10 −≤≤ Nn

[i

( )

=

=

=

∑∑

∑ ∑−

=

−−−

=

=

−−

=

1

0

21

0

1

0

21

0

12

21

N

n

nNkjN

k

N

n

njNnkjN

k

eN

kN

X

eekN

XN

X

πω

ωπ

π

πω

(6.102)

Suma din interior reprezint\ func]ia de interpolare de baz\, deplasat\ cu 2πk/N în frecven]\. Dac\ se define[te

( )( )

211

0

2sin

2sin

1111 −

−−

=

=−−

== ∑Nj

j

NjN

n

nj eN

N

ee

Ne

NP

ω

ω

ωω

ω

ω

ω (6.103)

rela]ia (6.102) se scrie

= ∑

=

kN

PkN

XXN

k

πω

πω

22)(1

0 (6.104)

Func]ia P(ω) are proprietatea c\

−==

=

1,...,2,1pentru,00pentru,12Nk

kk

NP π

(6.105)

234

Page 275: Prelucrarea digitala a semnalelor

Rela]ia (6.104) va da exact valorile e[antioanelor

kN

X π2 pentru

Nkπ

ω2

= , iar la toate celelalte frecven]e va produce o combina]ie

ponderat\ a e[antioanelor spectrului original.

6.5. E[antionarea semnalelor trece band\

Spectrul semnalelor considerate pân\ acum era concentrat `n benzi de frecven]\ care includeau [i frecven]a nul\. Aceste semnale sunt de tip "trece jos". O alt\ categorie de semnale utilizate `n practic\ este cea a semnalelor "trece band\", ale c\ror componente de frecven]\ sunt cuprinse `ntr-un interval . Un semnal analogic cu con]inutul spectral concentrat `ntr-o band\ `ngust\ din jurul anumitei frecven]e poate fi reprezentat `n general sub forma

21 BFB ≤≤ )(txa

cF , (6.106) )](2cos[)()( ttFtAtx ca ϕπ +=unde A(t) este amplitudinea sau anvelopa semnalului [i ϕ(t) este faza semnalului. Frecven]a poate fi una dintre frecven]ele din banda ocupat\ de semnal. ~n general se prefer\ frecven]a din centrul benzii semnalului [i `n modula]ia de amplitudine aceasta se nume[te frecven]\ purt\toare. Din rela]ia (6.106) rezult\

cF

tFtutFtutFttAtFttAtx

cscc

cca

πππϕπϕ

2sin)(2cos)(2sin)(sin)(2cos)(cos)()(

−=−=

(6.107)

unde, prin defini]ie

)(sin)()(),(cos)()(ttAtuttAtu

s

c

ϕϕ

==

sunt componentele `n cuadratur\ ale semnalului . Se introduce

anvelopa complex\ u(t) a semnalului analogic trece-band\ , ca fiind

)(txa)(txa

)()()( tjututu sc += (6.108) Rezult\ astfel

tFja

cetutx π2)(Re)( = (6.109) ~n continuare se va stabili leg\tura dintre spectrele semnalului trece band\ [i a anvelopei sale complexe. Transformata Fourier a semnalului analogic este

235

Page 276: Prelucrarea digitala a semnalelor

dtetxFX Ftjaa ∫

∞−

−= π2)()( (6.110)

[i deoarece , rezult\ ])()()[2/1()(Re 222 tFjtFjtFj ccc etuetuetu πππ −∗+=

∫∫

∫∞

∞−

+−∗∞

∞−

−−

∞−

−−∗

+=

=+=

dtetudtetu

dteetuetuFX

tFFjtFFj

FtjtFjtFja

cc

cc

)(2)(2

222

)(21)(

21

])()([21)(

ππ

πππ

(6.111)

Dac\ se noteaz\ cu U(F) transformata Fourier a anvelopei complexe, din (6.111) se ob]ine

)]()([21)( cc FFUFFUFX −−+−= ∗ (6.112)

Se poate ar\ta [PM] c\ dac\ semnalul este un semnal trece band\ [i

dac\ este ales corespunz\tor, atunci semnalul anvelop\ complex\ are spectrul `n jurul frecven]ei zero, motiv pentru care u(t) se mai nume[te semnalul echivalent de joas\ frecven]\ asociat semnalului trece band\ x

)(txa

)

cF

a(t). ~n general semnalul echivalent de joas\ frecven]\ u(t) este complex, `n timp ce semnalul trece band\ este real. Ultimul se poate ob]ine din primul `n domeniul timp cu rela]ia (6.110) sau `n domeniul frecven]\ cu rela]ia (6.112).

(txa

Figura 6.29. Spectrele semnalului analogic trece band\ [i al semnalului de joas\

frecven]\ corespunz\tor S-a ar\tat c\ un semnal analogic cu frecven]a maxim\ B poate fi reconstituit din e[antioanele sale dac\ frecven]a de e[antionare este mai mare decât frecven]a Nyquist (dublul frecven]ei maxime din spectru) . Totu[i, dac\ semnalul este unul trece band\ având

componentele de frecven]\ `ntre [i , aplicarea direct\ a teoremei

e[antion\rii impune o frecven]\ de e[antionare de cel pu]in . Dac\

BFN 2=

1B 2B

22B

236

Page 277: Prelucrarea digitala a semnalelor

semnalul este de band\ `ngust\, adic\ , atunci este avantajos s\ se transleze spectrul semnalului cu frecven]a

[i apoi s\ se e[antioneze semnalul echivalent de joas\ frecven]\. Aceasta se poate face multiplicând semnalul trece band\ cu purt\toarele `n cuadratur\ [i filtrând semnalele rezultate cu filtre trece-jos având banda de trecere la 2 , situa]ie prezentat\ `n figura 6.30.

1212 BBBB −>>>

2/)( 21 BBFc +=

cF

2B2B+

Bc + 2

∗∈Nk

T1

=

,2

)12(2sin−

=kn

nTFcπ

cos)(

()(

=

=

nTu

unTx

c

ca

Fs

1=

Se presupune c\ frecven]a cea mai `nalt\ din spectru

21

2 FBFB cc +=−

= (6.113)

este un multiplu al benzii semnalului B, adic\

kBF = , (6.114)

unde . Acest lucru este `ntotdeauna posibil, eventual prin l\rgirea benzii semnalului de analizat. E[antionând semnalul trece band\ cu

viteza de e[antionare

)(txa

B2 , rezult\

sin)(2

)12()(2cos)

−−

nTuknnTunTFnT

s

sc

πππ

(6.115)

deoarece B21

=T .

Figura 6.30. E[antionarea unui semnal analogic trece band\, prin transformarea lui `ntr-un semnal de joas\ frecven]\ echivalent

237

Page 278: Prelucrarea digitala a semnalelor

Fie B

T 121 ==T . Se disting dou\ cazuri

a) n par, adic\ n=2m, m∈Ζ; )()1()12(cos)()()2( 111 mTukmmTumTxmTx c

mcaa −=−=≡ π (6.116)

b) n impar, adic\ n=2m-1, m∈Ζ

);2

()1(

2)12)(12(sin)

2()

2()2(

11

1

11

11

TmTu

kmTmTuTmTxTmTx

skm

saa

−−=

=−−

−−=−≡−

++

π

(6.117) Prin urmare, e[antioanele pare ale lui , prelevate cu frecven]a de B

e[antioane pe secund\, vor produce e[antioanele componentei u din semnalul echivalent de joas\ frecven]\ u(t), `n timp ce e[antioanele impare ale lui , prelevate tot cu frecven]a de B e[antioane pe

secund\, vor produce e[antioanele componentei u din semnalul echivalent de joas\ frecven]\ u(t). Aceste e[antioane pot fi utilizate la reconstituirea semnalului echivalent de joas\ frecven]\. Pentru aceasta se aplic\ teorema e[antion\rii p\r]ilor componente ale semnalului echivalent de joas\ frecven]\

)(txa)(tc

)(txa)(ts

)(

)](sin[)()(

11

11

1

nTtT

nTtTmTuBtu

mcc

−= ∑

−∞= π

π

(6.118)

)

2(

)2

(sin)

2()(

11

1

11

111 TmTt

T

TmTtTTmTuBt

mss

+−

+−

−= ∑∞

−∞= π

π

u (6.119)

~nlocuind (6.118) [i (6.119) `n (6.107), se ob]ine

238

Page 279: Prelucrarea digitala a semnalelor

)2

(

)2

(sin)

2(2sin

)(

)](sin[)(2cos

2sin)(2cos)()(

11

1

11

111

11

11

1

TmTt

T

TmTtTTmTutFB

mTtT

mTtTmTutFB

tFtutFtutx

msc

mcc

cscca

+−

+−

−−

−−

−=

=−=

−∞=

−∞=

π

π

π

π

π

π

π

(6.108)

Regrupând termenii din membrul drept, rezult\

]2sin)

2(

)]2

(sin[)

2(

2cos)(

)](sin[)([)(

11

1

11

111

11

11

1

tFTnTt

T

TnTtTT

mTBu

tFnTt

T

nTtTmTuBtx

cs

cm

ca

ππ

π

ππ

π

+−

+−−−

−−

−= ∑

−∞=

(6.109)

239

Page 280: Prelucrarea digitala a semnalelor

CAPITOLUL 7

TRANSFORMATA FOURIER DISCRET| Transformata Fourier discret\ joac\ un rol foarte important `n multe aplica]ii ale prelucr\rii numerice de semnal, cum ar fi filtrarea liniar\, analiza [i estimarea spectral\. Motivul esen]ial al importan]ei sale rezid\ `n existen]a unor algoritmi eficien]i de calcul ai acestei transformate.

7.1. Transformata Fourier discret\ pentru secven]e de durat\ finit\

Transformata Fourier a unei secven]e de durat\ finit\ L se calculeaz\ cu rela]ia

][nx

(7.1) ∑−

=

−=1

0][)(

L

n

njenxX ωω πω 20 <≤

Se presupune c\ = 0 în afara domeniului 0 . Dac\ se

e[antioneaz\ X(ω) la frecven]ele

][nx 1−≤≤ Ln

Nk

kπ2

=ω , k = 0,1,2,…,N-1, unde

, e[antioanele rezultate sunt LN ≥

∑∑−

=

−−−

=

==

=

1

0

/2/21

0][][2][

N

n

NknjNknjL

nenxenx

NkXkX πππ

,k = 0,1,2,…,N-1 (7.2)

Limita superioar\ a sumei s-a considerat N – 1, deoarece = 0 pentru

.

][nxLn ≥

Rela]ia (7.2) este cunoscut\ sub denumirea de transformata Fourier discret\ (DFT) a semnalului . ][nx

Rela]ia NknjN

k

ekXN

nx /21

0

][1][ π∑−

=

= , n = 0,1,2,…,N-1 (7.3)

define[te transformat\ Fourier discret\ invers\ (IDFT) [i permite refacerea semnalului din e[antioanele spectrului. Dac\ L < N, IDFT în N puncte va determina = 0 pentru .

][nx][nx 1−≤≤ NnL

237

Page 281: Prelucrarea digitala a semnalelor

Transformata Fourier discret\ este definit\ pe o submul]ime a mul]imii numerelor `ntregi cu valori `n mul]imea numerelor complexe.

][|][|][ kXjekXkX ∠= (7.4) unde reprezint\ modulul transformatei Fourier discrete, iar

, faza sa. Rela]iile (7.2) [i (7.4) pot fi considerate ca transform\ri liniare ale secven]elor , respectiv, .

|][| kX][kX∠

][nx ][kX Se definesc vectorii coloan\

[ ][ ]

[ ]

=

1..10

Nx

xx

xN (7.5)

=

]1[..

]1[]0[

NX

XX

X N

[i matricea

(7.6)

( )

( ) ( )( )NN

NNN

NN

NN

NNNN

NNNN

N

www

wwwwww

W

×−−−−

=

11121

1242

12

...1.....................

...1

...11...111

unde Nj

N ewπ2

−= este o r\d\cin\ de ordin N a unit\]ii, numit\ nucleul

transformatei Fourier discrete. Cu aceste defini]ii, DFT în N puncte se exprim\ în form\ matriceal\

XN = WN·xN (7.7) unde WN este matricea transform\rii liniare. Se observ\ c\ WN este simetric\. Presupunând c\ WN admite invers\, se poate scrie

(7.8) NNN XWx 1−=care este IDFT. Cu (7.5) [i (7.6), rela]ia (7.3) poate fi scris\ compact sub forma

NNN XWN

x *1= (7.9)

unde W este conjugata lui W*N N. Comparând (7.9) cu (7.8) rezult\

238

Page 282: Prelucrarea digitala a semnalelor

*1 1NN W

NW =− (7.10)

care implic\ (7.11) NNN INWW ⋅=⋅ *

unde IN este matricea unitate de ordin N. Prin urmare, matricea WN din

transformare este ortogonal\ [i, mai mult, inversa sa exist\ [i este NWN

*

.

Se observ\ c\ pentru calculul DFT, `n fiecare punct sunt necesare N multiplic\ri complexe [i (N-1) adun\ri complexe, astfel `ncât pentru calculul DFT `n N puncte sunt necesare N2 multiplic\ri complexe [i N(N-1) adun\ri complexe. Datorit\ propriet\]ilor de simetrie [i periodicitate ale DFT s-au putut dezvolta algoritmi rapizi de calcul, cunoscu]i ca algoritmi pentru transformata Fourier rapid\ (FFT, Fast Fourier Transform) utiliza]i în calculul DFT [i IDFT. Din acest motiv DFT [i IDFT joac\ un rol foarte important în procesarea digital\ de semnal, cum ar fi analiza de frecven]\, estimarea spectral\ [i filtrarea liniar\. 7.1.1. Câteva propriet\]i ale DFT

1) Periodicitatea Dac\ [i sunt perechi DFT `n N puncte, atunci

[ ]nx ][kX

[ ] [ ] ZnnxNnx ∈∀=+ , (7.12) [i (7.12') ZkkXNkX ∈∀=+ ,][][ 2) Liniaritatea Dac\ [i sunt perechi DFT `n N puncte, atunci

[ ]nx ][kX

[ ] ∑∑ →j

jj

jj kXnxa ][puncteNinDFT (7.13)

Aceast\ proprietate decurge direct din defini]ia transformatei Fourier discrete directe.

3) Deplasarea [i simetria circular\ `n timp Datorit\ propriet\]ii de periodicitate, transformata Fourier discret\ `n N puncte a unei secven]e , de durat\ finit\, , este echivalent\ cu transformata Fourier discret\ `n N puncte a unei secven]e periodice , de perioad\ N, ob]inut\ prin repetarea periodic\ a lui

[ ]nx NL ≤

[ ]nxp[ ]nx

[ ] [ ]∑∞

−∞=

−=m

p Nmnxnx (7.14)

239

Page 283: Prelucrarea digitala a semnalelor

Prin deplasarea lui cu k unit\]i spre dreapta (k>0), se ob]ine

secven]a periodic\

[ ]nxp

[ ] [ ] [ ]∑∞

−∞=

−−=−=m

pp Nmknxknxnx ' , k>0 (7.15)

Secven]a aperiodic\ de lungime finit\

−≤≤

=restînNnnx

nx p

,0;10],[

][''

(7.16)

se ob]ine din secven]a original\ prin deplasare circular\. Rela]ia `ntre cele dou\ secven]e este ilustrat\ `n figura 7.1 pentru N=4 [i k=2.

[ ]nx

Deplasarea circular\ cu k unit\]i a unei secven]ei poate fi reprezentat\ cu indexul modulo N

[ ] [ ] ( )[ NknxNknxnx −=−= modulo)(' ] (7.17)

Figura 7.1. Deplasarea circular\ a unei secven]e de lungime N=4. (a) secven]a aperiodic\

, (b) repetarea periodic\ a secven]ei , (c) deplasarea cu dou\ unit\]i spre

dreapta a secven]ei , (d) deplasarea circular\ cu dou\ unit\]i spre dreapta a

secven]ei aperiodice , (e) deplasarea circular\ ilustrat\ prin plasarea e[antioanelor secven]ei pe circumferin]a unui cerc.

][nx ][nx][nxp

][nx

Pentru exemplul considerat,

240

Page 284: Prelucrarea digitala a semnalelor

, , ]2[)]4(mod,2[]0[' xxx =−= ]3[)]4(mod,1[]1[' xxx =−=]0[)]4(mod,0[]2[' xxx ==

[ ]nx' [ ]nx, . Se observ\ c\

este chiar deplasat circular cu dou\ unit\]i de timp, unde sensul trigonometric a fost ales arbitrar drept direc]ia pozitiv\ de deplasare. Deplasarea circular\ a unei secven]e de lungime N este echivalent\ cu deplasarea liniar\ a extensiei sale periodice, ob]inute prin repetarea periodic\, cu perioada N, a secven]ei , [i invers. Periodicitatea ce rezult\ din aranjarea celor N puncte ale secven]ei pe circumferin]a unui cerc determin\ defini]ii echivalente ale simetriei pare, impare [i refect\rii `n timp a unei secven]e.

]1[)]4(mod,1[]3[' xxx ==

[ ]nx

O secven]\ de lungime N este circular par\ dac\ este simetric\ fa]\ de punctul 0 de pe cerc, adic\ . 10],[][ −≤≤=− NnnxnNx

O secven]\ de lungime N este circular impar\ dac\ este antisimetric\ fa]\ de punctul 0 de pe cerc, adic\

. 10],[][ −≤≤−=− NnnxnNxReflectarea sau inversarea `n timp se realizeaz\ prin reflectarea

e[antioanelor fa]\ de punctul 0. ( )[ ] [ ] 10, −≤≤−=− NnnNxnx N (7.18)

4)Multiplicarea a dou\ DFT [i convolu]ia circular\ Se presupun dou\ secven]e de durat\ finit\ N, [i ale c\ror transformate Fourier discrete sunt

[ ]nx1 [ ]nx2

[ ] 1,0,][1

0

2

11 −==∑−

=

−NkenxkX

N

n

Nnkj π

(7.19)

[ ] 1,0,][1

0

2

22 −==∑−

=

−NkenxkX

N

n

Nnkj π

(7.20)

Prin multiplicarea lor se ob]ine o secven]\ , al c\rei original este o

secven]\ , tot de lungime N. ~n continuare, se va stabili o rela]ie `ntre

, [i .

][3 kX[ ]nx3

[ ]n[ ]nx1 x2 [ ]nx3

1,0,][][][ 213 −=⋅= NkkXkXkX (7.21) Aplicând IDFT rela]iei (7.21), se ob]ine

[ ] ∑∑−

=

=

==1

0

221

1

0

233 ][][1][1 N

k

NmkjN

k

Nmkj ekXkXN

ekXN

mx ππ (7.22)

~nlocuind (7.19) [i (7.20) `n (7.22), rezult\

241

Page 285: Prelucrarea digitala a semnalelor

[ ] [ ] [ ]

[ ] [ ] ( )

=

=

=

∑∑∑

∑ ∑∑−

=

−−−

=

=

=

=

−−

=

1

0

21

02

1

01

1

0

21

0

22

1

0

213

1

1

N

k

NlnmkjN

l

N

n

N

k

NmkjN

l

NlkjN

n

Nnkj

elxnxN

eelxenxN

mx

π

πππ

(7.23)

~n evaluarea rela]iei (7.23) se folose[te formula

≠−−

==∑

= .1adacă,1

11adacă,1

0aa

Na N

N

k

k (7.24)

Dac\ se noteaz\ ( ) aNlnmkj =−−π2e (7.25) se observ\ c\ când este multiplu de N [i a1=a lnm −− N=1. Rezult\ atunci

( )( ) −−=+−=

=∑−

=

−−

.restîn,0intregpentru,1

0

/)(2 pnmNpnmlNe N

N

k

Nlnmkj π

(7.26) ~nlocuind (7.26) `n (7.23), se ob]ine

[ ] [ ] ( )( ) 1,1,0,1

0213 −=−=∑

=

NmnmxnxmxN

nN K (7.27)

Rela]ia (7.27) este o sum\ de convolu]ie, numit\ convolu]ie circular\, datorit\ indexului ( . Convolu]ia circular\ a dou\ secven]e de lungime N se mai noteaz\ cu

)Nnm −

5) Transla]ia circular\ `n timp a unei secven]e Dac\ [i sunt perechi DFT `n N puncte, atunci

[ ]nx][kX

N

][])[( 2 kXemnx NmkjpuncteNinDFTN

π− →− (7.28) Demonstra]ie

[ ] [ ] [ ]

[ ]

][][][

][][)(

)()()(

21

0

/21

22

1

0

)(21

)(21

2

1

0

21

0

2

kXeepxepxe

epxepxemnx

emnxemnxmnxDFT

NmkjmN

p

NpkjN

mNp

NpkjNmkj

mN

p

Npmkj

mp

NpmkjN

mn

NnkjN

m

n

NnkjN

N

n

NnkjNN

ππππ

πππ

ππ

−−−

=

−−

−=

−−

−−

=

+−−

−=

+−−

=

=

−−

=

=

+=

=+=−+

+−=−=−

∑∑

∑∑∑

∑∑

6) Transla]ia circular\ `n frecven]\ a unei secven]e Dac\ [i sunt perechi DFT `n N puncte, atunci

[ ]nx][kX

])[(][ 2N

puncteNinDFTNmnj mkXenx − →π (7.29)

242

Page 286: Prelucrarea digitala a semnalelor

Demonstra]ie

[ ] [ ] [ ] ])[(1

0

)(21

0

222N

N

n

NnmkjN

n

NnkjNnmjNnmj mkXenxeenxenxDFT −=== ∑∑−

=

−−−

=

− ππππ

7) Inversarea circular\ `n timp a unei secven]e Dac\ [i sunt perechi DFT `n N puncte, atunci

[ ]nx][kX

][])[(][][ kNXkXnNxnx NpuncteNinDFT −=− →−=− (7.30)

Demonstra]ie

[ ] [ ] [ ] [ ]∑∑∑=

−−

=

−−−

=

− ==−=−N

m

NmNkj

Nm

NmNkjN

n

Nnkj emxemxenNxnNxDFT1

)(21

)(21

0

2 πππ

dar NkNmjNkmjNmkjNmNkj eee )(2)(2)(2)(2 −−−−−−−− === ππππe , astfel `ncât

[ ] [ ] ][1

)(2 kNXemxnNxDFTN

m

NkNkj −==− ∑=

−− π

8) Conjugarea complex\ Dac\ [i sunt perechi DFT `n N puncte, atunci

[ ]nx ][kX

][])[(][ *** kNXkXnx NpuncteNinDFT −=− → (7.31)

Demonstra]ie

[ ] [ ] [ ] [ ]

])[(*

*1

0

)(2*1

0

21

0

2**

N

N

n

NnkNjN

n

NnkjN

n

Nnkj

kX

enxenxenxnxDFT

−=

=

=

== ∑∑∑

=

−−−

=

=

− πππ

9) Convolu]ia circular\ Dac\ [i , [i , sunt perechi DFT `n N puncte, atunci

[ ]nx1 ][1 kX [ ]nx2 ][2 kX

][][][][ 2121 kXkXnxnx puncteNinDFT →⊗ (7.32) Demonstra]ia acestei propriet\]i a fost dat\ `n paragraful 4.2.3, la proprit\]ile seriei Fourier discrete. 10) Propriet\]i de simetrie Propriet\]ile de simetrie se ob]in aplicând metodologia folosit\ `n paragraful 4.2.9. Dac\ un semnal prezint\ propriet\]i de simetrie în domeniul timp, este posibil\ deducerea unor caracteristici ale semnalului în domeniul frecven]\. Secven]a [i transformata sa Fourier discret\ se presupun complexe, adic\

][nx ][kX

][][][ njxnxnx IR += , 0 (7.33) 1−≤≤ Nn][][][ kjXkXkX IR += , 0 (7.34) 1−≤≤ Nk

unde indicii [i specific\ partea real\, respectiv imaginar\. R I

243

Page 287: Prelucrarea digitala a semnalelor

nlocuind (7.33) [i e în expresia DFT dat\ de (7.2) [i separând p\r]ile reale [i imaginare, se ob]ine

NknjNknNknj /2sin/2cos/2 πππ −=−

[ ]∑−

=

+=1

0/2sin][/2cos][][

N

nIRR NknnxNknnxkX ππ (7.35)

[ ]∑−

=

−−=1

0/2cos][/2sin][][

N

nIRI NknnxNknkxnX ππ (7.36)

Similar, `nlocuind (7.34) `n expresia IDFT dat\ de (7.3), se ob]ine

[ ]∑−

=

−=1

0/2sin][/2cos][1][

N

kIRR NknkXNknkX

Nnx ππ (7.37)

[ ]∑−

=

+=1

0/2cos][/2sin][1][

N

kIRI NknkXNknkX

Nnx ππ (7.38)

~n continuare, se vor considera c`teva cazuri particulare: a) Secven]e cu valori reale Dac\ este real, din (7.2) rezult\ ][nx

][][][ * kXkXkNX −==− (7.39) ~n consecint\, | [i ∠ . Deoarece

, , (7.37) fiind o alt\ form\ pentru IDFT.

|][||][ kXkNX =−][] nx=

][][ kXkNX −∠=−0][ =nxI [nxR

b) Secven]e reale pare Dac\ este real [i par, adic\

, din (7.36) rezult\ [i DFT se reduce la rela]ia

][nx],[][ nxnNx =− 10 −≤≤ Nn 0][ =kX I

10,/2cos][][1

0

−≤≤=∑−

=

NkNknnxkXN

n

π (7.40)

care este real\ [i par\. IDFT se reduce la

∑−

=

−≤≤=1

0

10,/2cos][1][N

k

NnNknkXN

nx π (7.41)

c) Secven]e reale impare Dac\ este real [i impar, adic\

, din (7.35) rezult\ [i DFT devine

][nx],[][ nNxnx −−= 10 −≤≤ Nn 0][ =kX R

10,/2sin][][1

0

−≤≤−= ∑−

=

NkNknnxjkXN

n

π (7.42)

care este pur imaginar\ [i impar\. IDFT se reduce la forma

∑−

=

−≤≤=1

010,/2sin][1][

N

kNnNknkX

Njnx π (7.43)

d) Secven]e pur imaginare ~n acest caz [i rela]iile (7.35) [i (7.36) devin

][][ njxnx I=

244

Page 288: Prelucrarea digitala a semnalelor

∑−

=

=1

0/2sin][][

N

nIR NknnxkX π (7.44)

∑−

=

=1

0/2cos][][

N

nII NknnxnX π (4.45)

Se observ\ c\ este func]ie par\ [i impar\. Dac\ este

impar, atunci [i este real. Dac\ este par, atunci

[i este pur imaginar.

][kX R

][ =kX I

][kX

][kX I ][nxI0 ][kX ][nxI

0][ =kX R

Exemplul 7.1. S\ se efectueze convolu]ia circular\ a secven]elor [ ] 1,2,1,21 =nx [i [ ] 4,3,2,12 =nx

Solu]ie. Convolu]ia circular\ poate fi efectuat\ grafic, plasând e[antioanele secven]elor pe un cerc. ~nlocuind N=4 `n (7.27) [i ]inând cont de figura 7.2 rezult\ [ ] [ ] [ ] [ ] 163,142,161,140 3333 ==== xxxx , adic\

[ ] 16,14,16,143 ↑=nx .

Din exemplul considerat se observ\ c\ [i convolu]ia circular\ implic\ acelea[i opera]ii ca [i cea liniar\: reflectarea unei secven]e, deplasarea, multiplicarea [i `n final sumarea produselor. Diferen]a fa]\ de convolu]ia liniar\ const\ `n faptul c\ reflectarea [i deplasarea se efectueaz\ circular, prin calcularea indexului uneia din secven]e modulo N.

Convolu]ia circular\ este comutativ\, deci oricare din secven]e poate fi reflectat\ [i deplasat\ modulo N fa]\ de cealalt\, f\r\ modificarea rezultatului.

Exemplul 7.2. S\ se determine convolu]ia circular\ din exemplul precedent, cu ajutorul DFT [i IDFT.

[ ] [ ]nxnx 13 = [ ]nx24

Solu]ie.

. [ ] 3,2,1,0,22][ 2/32/3

0

4/211 =+⋅++== −−−

=

−∑ keeeenxkX kjkjkj

n

nkj ππππ

.0]3[;2]2[;0]1[;6]0[ 1111 ==== XXXX

[ ] 3,2,1,0,4321][ 2/32/3

0

4/222 =⋅+⋅+⋅+== −−−

=

−∑ keeeenxkX kjkjkj

n

nkj ππππ

.22]3[;2]2[;22]1[;10]0[ 2222 ⋅−−=−=⋅+−== jXXjXX.

245

Page 289: Prelucrarea digitala a semnalelor

][][][ 213 kXkXkX ⋅=]1[;60]0[ 33 == XX

,

.0]3[;4]2[;0 33 =−= XX

Figura 7.2 Convolu]ia circular\ calculat\ grafic

246

Page 290: Prelucrarea digitala a semnalelor

[ ] ( ) .3,2,1,0;46041][

41 3

0

4/233 =⋅−== ∑

=

neekXnx nj

k

nkj ππ

[ ] [ ] [ ] [ ] 163;142;161;140 3333 ==== xxxx sau

[ ] 16,14,16,143 =nx , a[a cum era de a[teptat.

7.2. Rela]iile transformatei Fourier discrete cu alte transformate Transformata Fourier discret\ (DFT) [i inversa sa (IDFT)

reprezint\ mijloace importante utilizate `n diverse aplica]ii de prelucrarea numeric\ a semnalelor. Importan]a lor este dat\ [i de multitudinea de algoritmi eficien]i de calcul, cunoscu]i sub numele de transformate Fourier rapide. Prin urmare, este important a se stabili rela]iile care exist\ `ntre transformata Fourier discret\ [i celelalte modalit\]i de prelucrare a semnalelor numerice.

7.2.1. Rela]ia dintre transformata Fourier discret\ [i seria Fourier a unei secven]e periodice Pentru comoditate se reamintesc rela]iile pentru DFT [i IDFT, [i

anume

DFT: [ ] [ ] 1,,1,0,1

0

2

−==∑−

=

−NkenxkX

N

n

Nknj

, (7.46)

IDFT: [ ] [ ] 1,,1,0,1 1

0

2

−== ∑−

=

NnekXN

nxN

k

Nknj

. (7.47)

Un semnal periodic de perioad\ N poate fi descompus `n

serie Fourier

][nxp

[ ] 1,,1,0,1

0

2

−==∑−

=

NnecnxN

k

Nknj

k Kπ

, (7.48)

unde coeficien]ii seriei Fourier sunt da]i de rela]ia

[ ] 1,,1,0,1 1

0

2

−== ∑−

=

−Nkenx

Nc

N

n

Nknj

k Kπ

(7.49)

Din compararea rela]iilor (7.46) [i (7.47) cu (7.48) [i (7.49) se observ\ c\ rela]ia (7.49) care d\ coeficien]ii seriei Fourier are forma unei

247

Page 291: Prelucrarea digitala a semnalelor

DFT. De fapt, dac\ se define[te o secven]\ , identic\ cu pe o

perioad\, DFT a acestei secven]e este

][nx ][nxp

[ ] kcNkX = (7.50) ~n plus, (7.48) are forma unei IDFT. Astfel, DFT furnizeaz\ o leg\tur\ important\ `ntre caracterizarea `n domeniul frecven]\ a secven]elor periodice [i secven]elor aperiodice de durat\ finit\. Rela]iile anterioare sugereaz\ c\ DFT poate fi v\zut\ ca fiind spectrul discret al semnalului periodic . ~ntr-o astfel de interpretare, o secven]\ de durat\ finit\

de lungime N este v\zut\ ca o singur\ perioad\ a unei secven]e periodice

][nxp][nx

∑∞

−∞=

−=m

p mNnxnx ][][ (7.51)

Spectrul discret al semnalului este ][nxp

[ ] 1,,1,0,][1

0

2

−===∑−

=

NkNcenxkX k

N

n

Nknj

p Kπ

(7.52)

[i IDFT devine

[ ] 1,,1,0,][1 1

0

2

−== ∑−

=

NnekXN

nxN

k

Nknj

p Kπ

(7.53)

7.2.2. Rela]ia dintre transformata Fourier discret\ [i transformata Fourier a unei secven]e aperiodice

Fie o secven]\ aperiodic\, de energie finit\, cu transformata Fourier [ ]nx (7.54) ∑

−∞=

−=n

njenxX ωω ][)(

Aceasta este e[antionat\ `n N puncte echidistante din `ntreg intervalul fundamental de pe axa frecven]ei ω , ob]inându-se e[antioanele spectrului

[ ππ ,−∈ ]

[ ] [ ] 1,,1,0,)(2

2 −==≡ ∑∞

−∞=

=NkenxXkX

n

Nnkj

kN

πω

ω (7.55)

Componentele spectrale [ ]X , sunt chiar

coeficien]ii transformatei Fourier discrete ai secven]ei periodice

ob]inute prin repetarea periodic\ a lui , cu perioada N, adic\ (7.51).

1,,1,0, −= Nkk K

[ ]nx[ ]nxp

248

Page 292: Prelucrarea digitala a semnalelor

Astfel, se ob]ine din toate alias-urile lui adunate `n intervalul

de la 0 la .

[ ]nxp−N

[ ]nx1

Dac\ x este de durat\ finit\ [i de lungime , atunci nu exist\ eroare alias `n domeniul timp [i

[ ]n NL ≤

[ ] [ ] 10, −≤≤= Nnnxnx p (7.56)

~n aceast\ situa]ie se ob]ine, `ntr-adev\r, prin aplicarea transformatei

Fourier discrete inverse asupra e[antioanelor , unde .

[ ]nx[ ]kX

1,,1,0 −= Nk K

7.2.3. Rela]ia dintre transformata Fourier discret\ [i transformata Z

Fie o secven]\ care are transformata Z [ ]nx

[ ]∑∞

−∞=

−=n

nznxzX )( (7.57)

[i regiunea sa de convergen]\ include cercul unitate. Dac\ (X este e[antionat\ `n puncte echidistante pe cercul

unitate, astfel `ncât punctele de prelevare sunt

)zk

Nj

k ezπ2

= ,

, atunci 1−,,1,0= Nk K

[ ] [ ] 1,,1,0,)(2

2 −==≡ ∑∞

−∞=

=NkenxzXkX

n

Nnkj

ezk

Nj

kK

ππ (7.58)

Membrul drept al ecua]iei (7.58) este chiar transformata Fourier evaluat\ la cele N frecven]e echidistante din intervalul fundamental.

)(ωX

Prin urmare, dac\ are o durat\ N sau mai mic\, atunci secven]a poate fi reconstituit\ cu ajutorul DFT `n N puncte. ~n aceast\ situa]ie favorabil\ se poate determina `n mod unic [i transformata sa Z, exprimând cu ajutorul IDFT

[ ]nx

][nx

[ ] [ ]∑ ∑∑−

=

−−

=

=

==

1

0

1

0

21

0

1)(N

n

nN

k

NknjN

n

n zekXN

znxzXπ

(7.59)

Schimbând ordinea de sumare, rezult\

249

Page 293: Prelucrarea digitala a semnalelor

[ ] [ ]

[ ] [ ]∑∑ ∑

∑ ∑∑ ∑

= −

−−

=

=

=

=

−−

=

−−

=

−=

=

=

=

=

1

0 12

1

0

1

0

12

1

0

1

0

21

0

1

0

2

1

111

11)(

N

k Nkj

NN

k

N

n

n

Nkj

N

k

N

n

nNknjN

n

nN

k

Nknj

ze

zkXN

zekXN

zekXN

zekXN

zX

π

π

ππ

(7.60)

Astfel, devine )(zX[ ]∑

= −

−⋅

−=

1

0 12

1

1)(N

k Nkj

N

ze

kXNzzX π (7.61)

Prin urmare, dac\ secven]a este de durat\ finit\, atunci transformata sa Z poate fi calculat\ cu ajutorul e[antioanelor transformatei Z evaluate pe cercul unitate. O formul\ analoag\ se poate ob]ine [i pentru transformata Fourier discret\, prin evaluarea transformatei Z pe cercul unitate

[ ]nx

[ ]∑−

=

−−

⋅−

=1

02

1

1)(N

k Nkj

Nj

e

kXNeX

πω

ω

ω (7.62)

Egalit\]ile (7.61) [i (7.62) sunt formule de interpolare de tip Lagrange [i ele exprim\ pe `n func]ie de e[antioanele ,

, egal distan]ate `n frecven]\. )(ωX [ ]kX

1,,1,0 −= Nk K

7.2.4. Rela]ia dintre transformata Fourier discret\ [i coeficien]ii seriei Fourier a unui semnal analogic periodic Fie un semnal periodic definit `n timp continuu. Dac\ )(txa

0

1F

Tp = este perioada sa, atunci semnalul se descompune `n serie Fourier

∑∞

−∞=

=k

tkFjka ectx 02)( π , (7.63)

unde

∫ −=pT

tkFja

pk dtetxT

c 02)(1 π (7.64)

sunt coeficien]ii seriei Fourier. E[antionând (x cu o frecven]\ de e[antionare de N ori mai mare decât fundamentala semnalului periodic

)ta sF

250

Page 294: Prelucrarea digitala a semnalelor

TTNFp

s1

== (7.65)

se ob]ine semnalul discret

[ ] ∑∑∞

−∞=

−∞=

==≡k

knNj

kk

nTkFjka ececnTxnx

ππ

22 0)( (7.66)

Dar ( )nmNk

Njkn

Nj

ee−

=ππ 22

, (7.67) pentru orice . Z∈mRela]ia (7.66) poate fi descompus\ `ntr-o sum\ infinit\ de sume de câte N termini

[ ]

( )∑ ∑∑ ∑∑

∑∑∑∑∑∞

−∞=

−+

=

−∞

−∞=

−+

=

=

=

=

−=

−−

−=

−∞=

=

=++

+++++==

m

NmN

mNk

mNknNj

km

NmN

mNk

knNj

k

N

Nk

knNj

k

N

Nk

knNj

k

N

k

knNj

kNk

knNj

k

N

Nk

knNj

kk

knNj

k

ececec

ecececececnx

1 21 213

2

2

12 21

0

21 21

2

22

πππ

πππππ

K

K

(7.68) ~n membrul drept al ultimei egalit\]i se face schimbarea de variabil\

, apoi se schimb\ ordinea de sumare [i, `n final, se revine la indicele k. Rezult\ astfel

pmNk =−

[ ] ( )

∑∑ ∑

∑ ∑∑ ∑−

=

=

−∞=+

=

−∞=+

−∞=

=

+

+

′=

=

=

=

=

1

0

21

0

2

1

0

21

0

2

N

k

knNj

k

N

p

pnNj

mmNp

N

p

pnNj

mmNp

m

N

p

nmNpNj

mNp

ecec

ececnx

ππ

ππ

(7.69)

unde

∑∞

−∞=−=′

mmNkk cc (7.70)

este spectrul ob]inut prin repetarea periodic\ a spectrului c la fiecare N

e[antioane, adic\ este chiar o secven]\ alias a spectrului . k

kc Pe de alt\ parte

[ ] [ ] 1,,1,0,1 1

0

2

−== ∑−

=

NnekXN

nxN

k

knNj

(7.71)

~n consecin]\, aplicând transformata Fourier invers\ se ob]ine

[ ] km

mNk cNcNkX ′== ∑∞

−∞=− , (7.72)

251

Page 295: Prelucrarea digitala a semnalelor

altfel spus, transformata Fourier discret\ furnizeaz\ liniile spectrale ale spectrului, afectate `ns\ de efectul alias.

7.2.5. Rela]ia dintre transformata Fourier discret\ [i transformata Fourier a unui semnal analogic aperiodic

Se consider\ un semnal aperiodic , de energie finit\, a c\rui

transformat\ Fourier este . Prin e[antionarea sa cu frecven]a de

e[antionare , se ob]ine semnalul discret

)(txa)(FX a

sF[ ] )(nTxnx a≡ , (7.73)

a c\rui transformat\ Fourier este

∑∞

−∞=

−=

msas

s

mFFXFFFX )( (7.74)

sau, echivalent

∑∞

−∞=

−=m

sas FmfXFfX ))(()( ; (7.75)

(7.75') ∑∞

−∞=

−=m

sas FmXFX ))2(()( πωω

Inevitabil, apar efecte alias care pot fi reduse prin prefiltrarea semnalului analogic `nainte de e[antionare sau prin e[antionarea cu o frecven]\ mai `nalt\. Dac\ spectrul este la rândul s\u e[antionat la N intervale de frecven]\ egal distan]ate

)(ωX

1,,1,0,2−== Nk

Nk

k Kπ

ω (7.76)

atunci

[ ]

,

22)( 2

∑∞

−∞=

−∞==

−=

=

−=≡

ms

sas

msas

Nk

mFNkFXF

FmNkXFXkX

πω π

ω

(7.77)

pentru . 1,,1,0 −= Nk K

Prin urmare, e[antioanele pot fi v\zute ca DFT a

unei secven]e periodice , date de

[ ] 1,,1,0 −= NkkX K

[ ]nxp

[ ] [ ] ∑∑∞

−∞=

−∞=

−=−=m

am

p mNTnTxmNnxnx )( (7.78)

252

Page 296: Prelucrarea digitala a semnalelor

Din rela]iile anterioare rezult\ c\ leg\tura dintre semnalul `n timp discret ob]inut prin e[antionarea unui semnal analogic cu frecven]a

de e[antionare [i spectrul corespunz\tor e[antionat cu

)(txa

sF NFs este o

transformat\ Fourier discret\ `n N puncta

∑∑∞

−∞=

−∞=

− →←−

ms

sas

ma mF

NkFXFpuncteNinDFTmNTnTx )( (7.79)

Aceast\ pereche DFT indic\ prezen]a efectelor alias atât `n domeniul timp cât [i `n domeniul frecven]\. De asemenea, sugereaz\ eventualele dificult\]i ce pot ap\rea când se dore[te calcularea spectrul unui semnal analogic cu ajutorul transformatei Fourier discrete, `n func]ie de alegerea m\rimilor Fs [i N. 7.3. Metode de filtrare liniar\ bazate pe DFT ~n paragraful 7.1 s-a definit transformata Fourier discret\ ca versiunea e[antionat\ a transformatei Fourier X(ω) pentru secven]a de durat\ finit\ . E[antionarea a fost realizat\ `n N frecven]e egal

distan]ate

[ ]nx1,1,0, −= NkNk K2= kπω , rezultând

1,1,0,)(][2

−=≡=

NkXkXNkk

Kπω

ω (7.80)

Pentru secven]a s-a ob]inut transformata Fourier discret\ [ ]nxDFT: [ ] 1,1,0,][

1

0

2 −==∑−

=

− NkenxkXN

n

Nnkj Kπ (7.81)

din care se reface secven]a cu ajutorul transformatei Fourier discrete inverse

[ ]nx

IDFT: [ ] 1,1,0,][1 1

0

2 −== ∑−

=

NnekXN

nxN

k

Nnkj Kπ (7.82)

Deoarece DFT furnizeaz\ o reprezentare discret\ `n domeniul frecven]\ a unei secven]e de durat\ finit\, datorit\ propriet\]ilor sale, ea este folosit\ ca un instrument de calcul `n analiza sistemelor liniare [i, `n special, `n filtrarea liniar\. S-a ar\tat c\, dac\ la intrarea unui sistem liniar al c\rui r\spuns `n frecven]\ este H(ω) se aplic\ un semnal al c\rui spectru este X(ω), el produce o ie[ire cu spectrul

)()()( ωωω HXY = (7.83) din care, cu transformata Fourier invers\, se ob]ine

253

Page 297: Prelucrarea digitala a semnalelor

[ ] ∫−

π

ω ωωπ

d)(21 njeYny (7.84)

~n aceast\ abordare a afl\rii r\spunsului intervin func]ii continue de ω. ~n consecin]\, aceste calcule nu pot fi realizate cu ajutorul unui calculator numeric, `ns\, datorit\ caracterului discret al DFT, aceast\ problem\ poate fi surmontat\. ~n multe aplica]ii se urm\re[te ob]inerea convolu]iei liniare a dou\ secven]e, adic\ se dore[te implementarea unui SDLIT care realizeaz\ opera]ia de filtrare liniar\ a secven]ei de intrare. Pentru a ob]ine convolu]ia liniar\ a celor dou\ secven]e cu ajutorul DFT, trebuie stabilite condi]iile `n care convolu]ia circular\ produce acela[i rezultat ca [i cea liniar\. Odat\ stabilite aceste condi]ii, implementarea convolu]iei liniare a dou\ secven]e [i cu ajutorul DFT se realizeaz\ parcurgând urm\torii pa[i:

][nx ][nh

1) Se calculeaz\ DFT `n N puncte [i pentru cele dou\ secven]e;

][kX ][kH

2) Se calculeaz\ produsul Y ; ; ][][][ kHkXk = 10 −≤≤ Nk3) Se calculeaz\ ca IDFT a lui Y , `n N

puncte. ][][][ nhnxny ⊗= ][k

7.3.1. Folosirea DFT `n filtrarea liniar\ ~n paragraful 7.1 s-a ar\tat c\ produsul a dou\ DFT este echivalent cu convolu]ia circular\ a secven]elor corespunz\toare din domeniul timp. Aceasta va fi egal\ cu convolu]ia liniar\ a celor dou\ secven]e de lungime finit\, `n func]ie de rela]ia dintre num\rul de puncte `n care s-a calculat DFT [i lungimile celor dou\ secven]e. Se presupune c\ secven]a de intrare este de lungime finit\, L, [i se aplic\ unui filtru FIR de lungime M, adic\

[ ]nx

(7.85) [ ][ ] Mnnnh

Lnnnx≥<=≥<=

,0pentru0,0pentru0

unde este r\spunsul la impuls al filtrului. Ie[irea filtrului, , poate fi determinat\ `n domeniul timp cu ajutorul sumei de convolu]ie

[ ]nh [ ]ny

[ ] [ ] [ ]∑−

=

−=1

0

M

kknxkhny (7.86)

Deoarece [i sunt de durat\ finit\, convolu]ia lor va fi, de asemenea, o secven]\ de durat\ finit\, de lungime , produsul

fiind egal cu zero pentru to]i k, dac\ n<0 [i n>M+L-2.

[ ]nh

]k

[ ]ny1−+ML

[ ] [nxkh −

254

Page 298: Prelucrarea digitala a semnalelor

R\spunsul `n frecven]\ echivalent rela]iei (7.86) este )()()( ωωω HXY ⋅= (7.87)

Dac\ 1,1,0,)()()(][/2/2

−=⋅====

NkHXYkYNkNk

Kπωπω

ωωω (7.88)

Atunci Y (7.89) 1,1,0,][][][ −=⋅= NkkHkXk K

unde [i sunt transformatele Fourier discrete ale

secven]elor , respectiv, h . ~n paragraful 6.4 s-a ar\tat c\ dac\ transformat\ Fourier Y a unui semnal discret aperiodic este e[antionat\ `n N puncte echidistante `n intervalul fundamental, secven]a rezultat\ reprezint\ coeficien]ii seriei Fourier discrete a semnalului periodic

][kXx ][kH

(ω[ ]n [ ]n

)

−≤≤−= ∑∞

−∞=

restîn

NnmNnyny mp

,0

10],[][ (7.90)

Din (7.89) rezult\ ][][][ nhnxnyp ⊗= (7.91)

Conform rela]iei (7.90), se observ\ cum convolu]ia circular\ a dou\ secven]e de lungime finit\ este echivalent\ cu convolu]ia liniar\ a secven]elor `n condi]ii de suprapunere a e[antioanelor (eroare alias) `n domeniul timp, datorit\ periodicit\]ii. De notat c\ dac\ N este mai mare decât L [i M, [i reprezint\ exact pe [i , `n schimb

va fi egal cu pentru to]i n, numai dac\ N este mai mare sau

egal cu lungimea secven]ei , adic\ L+M-1.

][kX ][kH]n

[y

][nx ][nh][nyp [y

]n Dac\ secven]a y poate fi reprezentat\ unic `n domeniul frecven]\ prin e[antionarea spectrului Y `ntr-un set de frecven]e discrete, num\rul e[antioanelor distincte trebuie s\ fie egal sau s\ dep\[easc\ . A[adar, pentru a reprezenta `n domeniul frecven]\, este necesar ca DFT s\ fie de dimensiune .

[ ]n)(ω

1−+ML [ ]ny+≥ L 1−MN

Deoarece secven]ele x [i au durata mai mic\ decât N, ele se completeaz\ cu e[antioane egale cu zero pân\ la N. Aceast\ cre[tere a lungimii secven]elor nu modific\ spectrele X(ω) [i H(ω), care sunt continue pentru secven]e aperiodice. Prin e[antionarea spectrului `n N puncte echidistante, s-a crescut num\rul de e[antioane ce reprezint\ secven]ele `n domeniul frecven]\ fa]\ de num\rul minim L sau M.

[ ]n [ ]nh

Deoarece num\rul = LN `n care se calculeaz\ transformata Fourier discret\ a ie[irii este suficient pentru a reprezenta

`n domeniul frecven]\, rezult\ c\ multiplicarea, conform rela]iei

1−+M

[ ]ny

255

Page 299: Prelucrarea digitala a semnalelor

(7.89), a transformatelor Fourier discrete [i , calculate `n N puncte, urmat\ de transformata Fourier discret\ invers\ trebuie s\ aib\ drept rezultat secven]a . Acest lucru implic\ echivalen]a dintre

convolu]ia circular\ `n N puncte a secven]elor [i [i convolu]ia

liniar\ a secven]elor [i h .

][kX

x

[ ]n

][kH

] [nh

x

3,2,1

[ ]ny

] [

N

[n

=

]

[n[nx

3=6=

]n

21+ 4/3−e kj π2 +/−e kjπ8/ =n ⋅e

2234

22 +− j2] +

=1[X

234

22 −−

− j 2

Cu alte cuvinte, crescând lungimile secven]elor [i la N puncte (prin completarea cu zerouri), efectuând convolu]ia circular\ a secven]elor rezultate, [i apoi transformarea invers\, se ob]ine acela[i rezultat ca `n cazul convolu]iei liniare. ~n aceste condi]ii, transformata Fourier discret\ poate fi folosit\ `n realizarea filtr\rii liniare.

] [ ]nh

Exemplul 7.3.

Folosind DFT [i IDFT s\ se determine r\spunsul filtrului FIR, caracterizat de r\spunsul la impuls h la intrarea

.

[ ] 1,2,2,1=nx

Solu]ie. . Convolu]ia liniar\ conduce la o secven]\ de lungime , ceea ce `nseamn\ c\ m\rimea DFT-urilor trebuie s\ fie de cel pu]in 6. ~n practic\, metodele numerice folosite `n calculul DFT impun ca N s\ fie o putere `ntreag\ a lui 2 (cerin]\ impus\ de algoritmii FFT de calcul ai DFT). Cea mai mic\ putere `ntreag\ a lui 2 mai mare sau egal\ cu 6 este .

,4= ML1−+= MLN

8=

[ ] 7,0,2][ 4/7

0

2 =⋅+= −

=

−∑ kenxkX kj

n

kj ππ

de unde

6]0[ =X ; ; ; jX −−= 1]2[

2]3[ =X

0]4[ =X ; 2

2342

22]5[ −−

+= jX ; ; jX +−= 1]6[

2234

22 ++

+ j2]7[ =X

pentru se ob]ine ][kH2/

7

0

4/8/2 321][][ kj

n

kjknj eeenhkH πππ −

=

−−∑ ++==

256

Page 300: Prelucrarea digitala a semnalelor

de unde 6]0[ =H ; )23(21]1[ +−+= jH ; ; 22]2[ jH −−=

)23(2 −+ j1]3[ −=H

2]4[ =H ; )23(21]5[ −−−= jH ; ; 22]6[ jH +−=

)23(2 ++ j1]7[ +=H

Efectuând produsul Y , rezult\ ][][][ kXkHk =36]0[ =Y ; Y ; Y ; Y 48,1707,14]1[ j−−= 4]2[ j= 515,007,0]3[ j+=0]4[ =Y ; Y ; Y ; Y 515,007,0]5[ j−= 4]6[ j−= 48,1707,14]7[ j+−=

Cu ajutorul IDFT, se ob]ine

∑=

==7

0

8/2 7,0,][81][n

knj nekYny π

adic\, .0,0,3,8,11,9,4,1][ =nyDe[i multiplicarea a dou\ DFT corespunde convolu]iei circulare `n

domeniul timp, se observ\ c\ prin completarea secven]elor [i cu un num\r suficient de zerouri, convolu]ia circular\ conduce la acela[i rezultat ca [i convolu]ia liniar\.

][nx ][nh

Dac\ `n exemplul anterior se efectueaz\ convolu]ia circular\ dintre 0,0,0,3,2,1][ =nh [i 0,0,1,2,2,1][ =nx

se ob]ine ∑=

−=5

06mod ]),[(][][

k

knxkhny

adic\, . 3,8,11,9,4,1][ =nyDac\ , nu apare suprapunere (eroare alias) `n domeniul timp, `n caz contrar, secven]a rezultat\ va con]ine suprapuneri ale unor componente.

1−+≥ MLN

Exemplul 7.4.

S\ se repete exemplul 1, pentru N=4.

3,0,321][][3

0

2/4/2 =++== −

=

−−∑ keeenhkH kj

n

kjknj πππ

de unde 6]0[ =H ; ; ; . 22]1[ jH −−= 2]2[ =H 22]3[ jH +−=

[ ] 2/32/3

0

4/2 221][ kjkjkj

n

nkj eeeenxkX ππππ −−−

=

− +⋅+⋅+==∑

6]0[ =X ; ; ; . jX −−= 1]1[ 0]2[ =X jX +−= 1]3[

257

Page 301: Prelucrarea digitala a semnalelor

][][][ˆ kHkXkY = , de unde

36]0[ˆ =Y ; Y ; Y ; Y 4]1[ˆ j= 0]2[ˆ = 4]3[ˆ j−=Aplicând IDFT, se ob]ine

( )2/32/3

0

4/2 443641][ˆ

41][ˆ njnj

k

knj ejejekYny πππ −+== ∑=

adic\, . 11,9,7,9][ˆ =ny

Se verific\ faptul c\ h . ][n ][nx ∑=

−=3

04mod ])[(][

kknxkh 11,9,7,9=4

Dac\ se compar\ rezultatul ob]inut prin folosirea DFT [i IDFT `n 4 puncte cu ob]inut prin folosirea DFT [i IDFT `n 8 puncte se observ\ diferen]e datorit\ suprapunerilor sau interferen]ei componentelor.

][ˆ ny][ny

9]4[]0[]0[ˆ =+= yyy 7]5[]1[]1[ˆ =+= yyy

9]2[]2[ˆ == yy 11]3[]3[ˆ == yy

Se observ\ c\ numai primele dou\ componente sunt afectate de eroare alias, adic\ componente. 1,min −ML 7.3.2. Filtrarea secven]elor lungi de date ~n paragraful precedent s-a prezentat procedura de ob]inere a r\spunsului unui sistem cu r\spuns finit la impuls la o intrare de lungime finit\, adic\ a convolu]iei liniare cu ajutorul DFT. ~n aplica]iile practice care implic\ filtrarea liniar\, secven]ele de intrare sunt de obicei foarte lungi. Chiar dac\ teoretic s-ar putea stoca aceste secven]e, folosirea metodei descrise anterior ar implica calculul DFT `ntr-un num\r foarte mare de puncte, ceea ce nu este de obicei practicabil, datorit\ algoritmilor FFT folosi]i `n calculul DFT. Un alt motiv pentru care metoda anterioar\ nu este folosit\ este acela c\ prin recep]ionarea `ntregii secven]e de intrare se intoduc `ntârzieri mari `n r\spuns, lucru care, `n general, este de evitat. Solu]ia la aceste probleme este oferit\ de convolu]ia bloc, `n care semnalul ce trebuie prelucrat este `np\r]it `n blocuri de lungime fix\, `n func]ie de disponibilit\]ile procesorului. Blocurile succesive sunt prelucrate cu ajutorul DFT, iar ie[irile sunt "al\turate" pentru a forma secven]a total\ de ie[ire.

][nx

Exist\ dou\ metode de filtrare liniar\ a secven]elor lungi, bloc cu bloc, cu ajutorul DFT:

258

Page 302: Prelucrarea digitala a semnalelor

- metoda cu suprapunere [i sumare; - metoda cu suprapunere [i salvare. Pentru ambele metode se presupune c\ sistemul c\ruia se aplic\

datele este cauzal, cu r\spuns finit la impuls, de lungime M, iar secven]a de intrare cauzal\ este `mp\r]it\ `n blocuri de lungime L, cu .ML >>

Metoda cu suprapunere [i sumare

Secven]a de intrare poate fi reprezentat\ ca o sum\ de secven]e, fiecare de lungime L

∑∞

=

−=0

][][r

r rLnxnx (7.92)

unde (7.93) −≤≤+

=.,0

,10],[][

restînLnrLnx

nxr

Deoarece convolu]ia este o opera]ie liniar\, invariant\ `n timp, rezult\ c\

∑∞

=

−=∗=0

][][][][r

r rLnynhnxny , (7.94)

unde (7.95) ][][][ nhnxny rr ∗=Fiecare din termenii are lungimea (L+M-1), ceea ce `nseamn\ c\,

pentru a calcula convolu]ia liniar\ cu ajutorul DFT `n N puncte, este necesar ca . Pentru aceasta, r\spunsul la impuls se completeaz\ cu L-1 zerouri, iar blocurile de date cu M-1 zerouri, ob]inându-se

][nyr

N][][ nhnxr ∗

1−+≥ ML

0,...0,0],1[],...,2[],1[],0[]['1

1 321zerouriM

Lxxxxnx−

−= (7.96)

0,...0,0],12[],...,2[],1[],[]['1

2 321zerouriM

LxLxLxLxnx−

−++= (7.97)

0,...0,0],13[],...,22[],12[],2[]['1

3 321zerouriM

LxLxLxLxnx−

−++= (7.98)

[i a[a mai departe. ~mp\r]irea datelor de intrare `n blocuri [i combinarea blocurilor de date de ie[ire este ilustrat\ `n figura 7.3. Cele dou\ transformate Fourier discrete ale secven]elor [i , completate cu zerouri pân\ la N, se multiplic\ pentru a forma

][nx ][nh

1,...,1,0],['][][ −== NkkXkHkY mm (7.99)

Transformata Fourier discret\ invers\ a lui Y produce blocul de lungime N, f\r\ eroare alias, deoarece fiecare din secven]e a fost crescut\ pân\ la N puncte prin ad\ugarea de zerouri.

][km ][nym

259

Page 303: Prelucrarea digitala a semnalelor

Deoarece fiecare bloc de date se termin\ cu M-1 zerouri, ultimele M-1 puncte din fiecare bloc de ie[ire trebuie suprapuse [i sumate cu primele M-1 puncte ale blocului urm\tor pentru a ob]ine suma din (7.94), de unde [i numele metodei. Secven]a de ie[ire va fi

],...1[],[],1[]1[],...1[]1[],0[][],1[],...,1[],0[][

2221

2121111

+−+−+++−=

MyMyMyNyyLyyLyLyyyny

(7.100)

Figura 7.3. Filtrare liniar\ prin metoda cu suprapunere [i sumare

Medoda cu suprapunere [i salvare i `n aceast\ metod\ DFT [i IDFT se calculeaz\ `n

puncte. M\rimea blocului de date de intrare se cre[te pân\ la . Fiecare bloc de date con]ine ultimele M-1 e[antioane ale

blocului precedent de date, urmate de L e[antioane noi de date, pentru a forma secven]a de lungime N=L+M-1.

1−+= MLN

1−+= MLN

Se calculeaz\ DFT `n N puncte pentru fiecare bloc de date. R\spunsul la impuls al filtrului FIR este crescut `n lungime prin ad\ugarea a L-1 zerouri [i apoi se calculeaz\ DFT, iar secven]a ob]inut\ este stocat\.

Multiplicarea a dou\ DFT `n N puncte [i pentru blocul “m” de date are ca rezultat

][kH ][kX m

260

Page 304: Prelucrarea digitala a semnalelor

1,...,2,1,0],[][][ˆ −== NkkXkHkY mm (7.101) Apoi, prin calcularea IDFT `n N puncte, rezult\

]1[ˆ],...,[ˆ],1[ˆ],...,1[ˆ],0[ˆ][ˆ −−= NyMyMyyyny mmmmmm (7.102)

Acesta corespunde convolu]iei circulare a lui [i . Deoarece datele au lungimea N, iar r\spunsul la impuls, lungimea M, primele

puncte sunt afectate de eroare alias [i nu

trebuie considerate. Ultimele L puncte ale lui sunt exact cele rezultate din convolu]ia liniar\ [i, `n consecin]\,

][nxm

[ym

][nh

11,min −=− MMN ][ˆ nym]n

1,...,1,],[][ˆ −+== NMMnpentrunyny mm (7.103) Ultimele M-1 puncte ale fiec\rei secven]e de intrare sunt salvate [i acestea devin primele M-1 puncte ale secven]ei urm\toare. La `nceperea proces\rii, primele M-1 puncte ale primului bloc de date sunt considerate zero. Astfel, blocurile de date sunt de forma

]1[],...,1[],0[,0,...,0,0][1

1 −=−

LxxxnxpuncteM321 (7.104)

]12[],...,1[],[,]1[],...,1[][

][sec1

2

1

4444 34444 214444 34444 21noidateL

nxventeidateledinpuncteM

LxLxLxLxMLxnx −+−+−=−

(7.105)

]13[],...,1[],2[,]12[],...,12[][

][sec1

3

2

44444444 214444 34444 21noidateL

nxventeidateledinpuncteM

LxLxLxLxMLxnx −+−+−=−

3 (7.106)

[i a[a mai departe. Secven]ele de date rezultate prin IDFT sunt date de (7.102), unde

primele M-1 puncte nu sunt luate `n calcul datorit\ erorii alias produse de acestea, iar cele L puncte r\mase constituie rezultatul dorit din convolu]ia liniar\. Opera]iile de segmentare a datelor de intrare [i concatenare a blocurilor ob]inute la ie[ire pentru ob]inerea secven]ei de ie[ire sunt ilustrate `n figura 7.4.

Din descrierea metodelor anterioare de filtrare a secven]elor lungi de date ar putea p\rea c\ folosirea DFT nu este numai o metod\ indirect\, ci [i una care presupune efectuarea multor calcule, deoarece datele de intrare trebuie transformate `n domeniul frecven]\ cu ajutorul DFT, apoi multiplicate cu DFT a r\spunsului la impuls al filtrului, iar `n final rezultatul trebuie transformat `n domeniul timp cu ajutorul IDFT. Utilizând `ns\ algoritmi rapizi de calcul ai DFT [i IDFT, efortul de calcul este inferior celui necesar calcul\rii secven]ei de ie[ire prin realizarea direct\ a sistemului FIR `n domeniul timp (suma de convolu]ie). Dup\ cum s-a mai men]ionat, dac\ , atunci Nj

N ew /2π−=

261

Page 305: Prelucrarea digitala a semnalelor

[i

10,][1][

10,][][

1

0

1

0

−≤≤=

−≤≤=

−−

=

=

NnwkXN

nx

NkwnxkX

knN

N

k

knN

N

n (7.107)

Calcularea direct\ a lui necesit\ N multiplic\ri complexe (4N reale), N-1 adun\ri complexe (4N-2 reale), `n total fiind necesare N

][kX2

multiplic\ri complexe [i N2 - N adun\ri complexe. Exist\ dou\ propriet\]i de simetrie [i periodicitate care reduc substan]ial complexitatea calculelor. Acestea sunt:

kN

NkN ww −=+ 2/ (7.108)

kN

NkN ww =+ (7.109)

Transformata Fourier rapid\ este un algoritm rapid de calcul pentru DFT, care folose[te aceste propriet\]i.

Figura 7.4. Filtrare liniar\ prin metoda cu suprapunere [i salvare

7.4. Probleme propuse

7.1. S\ se calculeze transformata Fourier discret\ `n N puncte pentru semnalele:

262

Page 306: Prelucrarea digitala a semnalelor

a) ; [ ] [ ]nnx δ=b) ; [ ] [ ] Nnnnnx <<−δ= 00 0,

c) ; [ ] 10, −≤≤= Nnanx n

d) ; [ ]

≤≤≤≤

=158,070,1

nn

nx

( )e) ; [ ] 10,0/2 −≤≤= Nnenx nkNj π

f) [ ] 10,2

cos 0 −≤≤π

= NnnkN

nx ;

g) [ ] 10,2

sin 0 −≤≤π

= NnnkN

nx .

7.2.Se consider\ semnalul cu durat\ finit\ [ ] 1,3,2,1=nx

a) s\ se calculeze transformata Fourier discret\ `n patru puncte prin rezolvarea sistemului de 4 ecua]ii liniare cu 4 necunoscute definit de formula transformatei Fourier discrete inverse;

b) s\ se verifice rezultatul de la punctul a) prin calcularea DFT `n 4 puncte, conform defini]iei.

7.3. a) S\ se calculeze transformata Fourier a semnalului )(ωX

[ ] 0,1,2,3,2,1↑

=nx

b) S\ se calculeze DFT `n 6 puncte ,V , a semnalului )(k[ ] 2,1,0,1,2,3=nv

c) Care este leg\tura dintre [i V ? S\ se explice. )(ωX )(k

7.4. Primele 5 valori ale transformatei Fourier discrete `n 8 puncte a unei secven]e reale sunt

. S\ se determine celelalte 3 valori.

0,0518.0125.0,0,3018.0125.0,25.0 jj −−

7.5. S\ se calculeze convolu]ia circular\ `n 8 puncte pentru secven]ele

urm\toare: a) [ ] 0,0,0,0,1,1,1,11 =nx

[ ] 70,8

3sin2 ≤≤= nnnx π;

263

Page 307: Prelucrarea digitala a semnalelor

b) [ ] 70,41

1 ≤≤

= nnx

n

[ ] 70,8

3cos2 ≤≤= nnnx π

7.6. Se dau secven]ele:

[ ] [ ] 102sin2cos 21 −≤≤== NnnN

nxnN

nx ππ.

S\ se determine, `n N puncte: a) convolu]ia circular\ x ; [ ]n1 [ ]nx2N

b) corela]ia circular\ dintre [i ; [ ]nx1 [ ]nx2

c) autocorela]ia circular\ a lui ; [ ]nx1

d) autocorela]ia circular\ a lui . [ ]nx2

7.7. S\ se calculeze expresia ∑ [ ] [ ]−

=

∗1

021

N

nnxnx

pentru urm\toarele perechi de secven]e:

a) [ ] [ ] 10,2cos21 −≤≤== NnnN

nxnx π;

b) [ ] [ ] 10,2sin,2cos 21 −≤≤== NnnN

nxnN

nx ππ;

c) . [ ] [ ] [ ] [ ] [ ] [ ]Nnununxnnnx −−=−+= 21 ,8δδ

7.8. S\ se determine DFT-ul `n N puncte pentru secven]ele:

[ ] [ ] 10,2cos −≤≤= NnNknnxnxcπ

[i

[ ] [ ] 10,2sin −≤≤= NnNknnxnxsπ

.

7.9. S\ se determine convolu]ia circular\ a secven]elor

[i `n domeniul timp. [ ] 1,3,2,11 ↑=nx [ ] 1,3,2,12 ↑

=nx

264

N

Page 308: Prelucrarea digitala a semnalelor

7.10. S\ se determine cu ajutorul DFT [i

IDFT `n patru puncte, unde [i sunt secven]ele din problema anterioar\.

[ ] =nx3

[ ]nx1

[ ] ][21 nxnx ⊗[ ]nx2

7.11. Cunoscând DFT-ul `n opt puncte a secven]ei

[ ]

≤≤≤≤

=74,030,1

nn

nx

s\ se calculeze DFT pentru urm\toarelor secven]e:

a) ; [ ]

≤≤≤≤

==

75,1

41,0

0,1

1

n

n

n

nx

b) . [ ]

≤≤≤≤≤≤

=76,0

52,1

10,0

1

n

n

n

nx

7.12. Fie transformata Fourier discret\ `n N puncte a secven]ei

, . Care este DFT `n N puncte a secven]ei

, 0 ?

][kX−≤ N

≤ n

[ ]nx

[ ]ns10 ≤ n

][nX ≤= 1−N

7.13. Unui sistem liniar invariant `n timp cu r\spunsul `n frecven]\

i se aplic\ la intrare semnalul periodic . Se

calculeaz\ DFT-ul Y din e[antioanele , , ale

secven]ei de ie[ire. Care este leg\tura dintre Y [i .

)(ωH [ ] [ ]∑∞

−∞=

−δ=k

kNnnx

[ ]ny 10 −≤≤ Nn] )(ωH

][k[k

265

Page 309: Prelucrarea digitala a semnalelor

183

CAPITOLUL 4

STRUCTURI PENTRU IMPLEMENTAREA SISTEMELOR DISCRETE

Acest capitol este dedicat implementării sistemelor discrete, liniare, invariante în timp. Există diferite configuraţii de structuri pentru implementarea sistemelor discrete cu răspuns finit (FIR) şi infinit la impuls (IIR), dintre care se vor prezenta formele directe, structurile în cascadă, în paralel şi cele lattice, ce prezintă robusteţe la implementarea cu aritmetică finită. De asemenea, este descrisă în acest capitol implementarea în domeniul frecvenţă a unui sistem FIR, care are avantajul de a fi eficient din punct de vedere al calculelor, faţă de alte implementări pentru sistemele FIR. O parte semnificativă a acestui capitol se referă la descrierea sistemelor discrete, liniare, invariante în timp, în spaţiul stărilor. Este prezentată, de asemenea, o analiză a sistemelor caracterizate cu ajutorul variabilelor de stare.

4.1. Consideraţii asupra implementării sistemelor discrete Sistemele discrete, liniare, invariante în timp sunt caracterizate

de ecuaţia cu diferenţe cu coeficienţi constanţi descrisă de relaţia

∑ ∑= =

−+−−=N

k

M

kkk knxbknyany

1 0][][][ (4.1)

O astfel de clasă de sisteme liniare invariante în timp sunt caracterizate de funcţia de sistem

( )∑

=

=

+= N

k

kk

M

k

kk

za

zbzH

1

0

1 (4.2)

Page 310: Prelucrarea digitala a semnalelor

184

Cu ajutorul ultimei caracterizări, se obţin zerourile şi polii funcţiei de transfer, care depind de alegerea parametrilor sistemului ak şi bk şi care determină răspunsul în frecvenţă al sistemului. În acest capitol se vor prezenta diferite metode de implementare a relaţiilor (4.1) sau (4.2), care depind de forma în care aceste două caracterizări sunt aranjate. În general, relaţia (4.1) poate fi privită ca o procedură de calcul (un algoritm) pentru determinarea secvenţei de ieşire y[n] a sistemului, cunoscând secvenţa de intrare la momentele n, n-1, n-M şi condiţiile iniţiale pentru sistem [63]. Relaţia (4.1) poate fi aranjată într-un set echivalent de ecuaţii cu diferenţe. Fiecare set de ecuaţii defineşte o procedură de calcul sau un algoritm pentru implementarea sistemului. Pentru fiecare set de ecuaţii se poate construi o diagramă bloc constând din interconexiuni de elemente de întârziere, elemente de multiplicare şi sumare. Având în vedere cele prezentate, ar putea apărea întrebarea de ce nu sunt implementate direct cele două relaţii şi ce beneficii decurg din rearanjarea acestora în diverse moduri. În acest capitol se urmăreşte a se răspunde la această întrebare, ţinând cont că factorii importanţi care determină alegerea unei structuri particulare sunt complexitatea calculului, necesarul de memorie şi efectele lungimii finite a cuvintelor asupra performanţelor sistemului. Complexitatea calculului se referă la numărul de operaţii aritmetice (în general, multiplicări şi sumări) necesare pentru a calcula o valoare de ieşire y[n] a sistemului. Memoria necesară se referă la numărul de locaţii de memorie necesare pentru a stoca parametrii de sistem, intrări anterioare, ieşiri anterioare şi orice valori intermediare necesare. Efectele lungimii finite a cuvintelor sau efectele de precizie finită se referă la efectele de cuantizare ce sunt prezente în orice implementare digitală a sistemului, fie ea hardware sau software. Parametri unui sistem trebuie reprezentaţi cu precizie finită. Calculele care sunt executate în procesul de obţinere a valorii unei ieşiri din sistem trebuie neapărat rotunjite sau trunchiate adecvat în limita preciziei date de calculator. Un alt considerent ce trebuie avut în vedere este tipul de aritmetică folosit, virgulă fixă sau mobilă. Toate aceste probleme sunt uzual denumite efectele lungimii finite a cuvintelor şi sunt extrem de importante, având influenţă în alegerea modului de implementare a unui sistem. Se va observa că diferite structuri de sistem, care sunt echivalente pentru precizie infinită, prezintă o comportare diferită, când mărimile care caracterizează sistemul sunt reprezentate cu precizie finită. Prin urmare,

Page 311: Prelucrarea digitala a semnalelor

185

este foarte important în practică a selecta o implementare care nu este foarte sensibilă la efectele lungimii finite a cuvintelor. Deşi factorii majori prezentaţi mai sus influenţează alegerea modului de implementare a unui sistem, mai există şi alţi factori, destul de importanţi, cum ar fi timpul necesar furnizării mărimii de interes. În acest sens, posibilitatea de procesare în paralel sau “pipeline”, poate juca un rol important în alegerea modului de implementare a sistemului. În analiza de faţă a structurilor de implementare a sistemelor discrete, se vor avea în vedere cei trei factori importanţi prezentaţi mai sus. Ocazional, vor fi incluşi unii factori suplimentari care pot fi de o importanţă majoră în unele implementări. În particular, în acest capitol se va urmări complexitatea calculelor şi memoria necesară.

4.2. Implementarea sistemelor cu răspuns finit la impuls

Pentru a păstra unitatea de notaţii cu Capitolul 2, se consideră că, în general, un sistem FIR este descris de ecuaţia cu diferenţe

∑−

=

−=1

0][][

M

kk knxbny (4.3)

sau, echivalent, prin funcţia de sistem

( ) ∑−

=

−=1

0][

M

k

kzkhzH (4.4)

Răspunsul la impuls al unui sistem FIR este identic cu coeficienţii bn, prin urmare, se poate scrie

−≤≤

=restîn0

10][

Mnbnh n (4.5)

În continuare se vor prezenta diferite metode de implementare a unui sistem FIR, începând cu cea mai simplă structură, numită forma directă. O a doua structură este forma în cascadă. A treia structură care va fi prezentată este cea cu eşantionare în frecvenţă. În final, se va prezenta structura lattice.

4.2.1. Implementarea în forma directă Aceasta implementare rezultă imediat din ecuaţia cu diferenţe nerecursivă dată de relaţia (4.3) sau, echivalent, prin suma de convoluţie

Page 312: Prelucrarea digitala a semnalelor

186

∑−

=

−=1

0][][][

M

kknxkhny (4.6)

Structura care implementează această relaţie este ilustrată în figura 4.1. Se observă că această structură necesită M-1 locaţii de memorie pentru cele M-1 intrări anterioare, şi are o complexitate de M multiplicări şi M-1 sumări pentru fiecare ieşire. Deoarece ieşirea constă dintr-o combinaţie liniară a intrării curente şi a M-1 valori anterioare ale acesteia, structura din figura 4.1. reprezintă o linie de întârziere sau un sistem transversal. Din această cauză forma directă de implementare este adesea numită filtru transversal sau filtru cu linie de întârziere.

Fig. 4.1. Forma directă de implementare a sistemului FIR

În Capitolul 2 s-a arătat că răspunsul la impuls al unui sistem FIR de fază liniară satisface condiţia

]1[][ nMhnh −−±= (4.7) Pentru un astfel de sistem numărul de multiplicări este redus de la M la M/2 pentru M par şi la (M+1)/2 pentru M impar. În figura 4.2 este ilustrată posibilitatea de implementare a unui sistem FIR cu fază liniară pentru M impar.

Figura 4.2. Forma directă de implementare a unui sistem FIR de fază liniară cu M impar

Page 313: Prelucrarea digitala a semnalelor

187

4.2.2. Implementarea în cascadă

Implementarea în cascadă presupune scrierea funcţiei de sistem dată de relaţia (4.4) sub forma unui produs de factori )(zH k , Kk ,1= .

( ) ( )∏=

=K

kk zHGzH

1

(4.8)

unde, în cazul implementării cu module de ordinul doi, ( ) 2

21

11 −− ++= zbzbzH kkk , k = 1,2,…,K (4.9) K fiind partea întreagă a lui (M+1)/2. Factorul de câştig G ar putea fi egal distribuit între cele K secţiuni ale filtrului, astfel că G = G1G2…GK. Zerourile lui ( )zH sunt grupate în perechi ce produc sistemele de ordin doi de tip FIR caracterizate de relaţia (4.9). Întotdeauna se doreşte a grupa perechi de rădăcini complex-conjugate astfel încât coeficienţii 2,1, =ibki , din relaţia (4.9) să fie reali. Rădăcinile reale pot fi împerecheate în orice manieră. Implementarea în cascadă cu secţiunea de bază de ordin doi este indicată în figura 4.3.

Figura 4.3. a) Realizarea în cascadă a unui sistem FIR, b) o secţiune de filtru FIR de

ordinul doi

În cazul filtrelor FIR de fază liniară, simetria în h[n] implică faptul că zerourile lui H(z) prezintă, de asemenea, o formă de simetrie. În particular, dacă zk şi zk

* sunt o pereche de zerouri complex-conjugate atunci 1/zk şi 1/zk

* sunt, de asemenea, o pereche de zerouri complex-conjugate. Prin urmare, se obţin câteva simplificări formând secţiuni de ordinul patru pentru un sistem FIR, după cum urmează: ( ) ( )( )( )( )*111*1 /1/111 kkkkk zzzzzzzzzH −−−− −−−−=

= 1 + ck1z-1 + ck2z-2 + ck1z-3 + z-4 (4.10)

Page 314: Prelucrarea digitala a semnalelor

188

unde coeficienţii 1kc şi 2kc sunt funcţii de zerourile zk. Astfel, combinând cele două perechi de zerouri, pentru a forma o secţiune de ordin patru, numărul de multiplicări se reduce de la patru la două (cu un factor de 50%). Figura 4.4 ilustrează structura de bază a filtrului FIR cu funcţia de sistem (4.10).

Figura 4.4. Secţiune de ordinul 4 în realizarea în cascadă a unui filtru FIR de fază liniară

4.2.3. Implementarea structurii cu eşantionare în frecvenţă

În implementarea cu eşantionare în frecvenţă a unui filtru FIR, parametrii ce caracterizează filtrul sunt valori ale răspunsului în frecvenţă dorit, în loc de răspunsul la impuls h[n]. Pentru a prezenta acest tip de structură se reaminteşte că răspunsul în frecvenţă dorit poate fi specificat pentru un anumit set de frecvenţe egal depărtate, şi anume:

( )21sau0,2

=+= ααπω kMk

impar,2

1,.....,1,0 MMk −=

par,12

,.....,1,0 MMk −=

Se alege 21=α când răspunsul în frecvenţă în origine nu poate fi

specificat, din motivele prezentate în paragraful 2.5. Dacă răspunsul în frecvenţă se exprimă sub forma

( ) ∑−

=

−=1

0

][M

n

njenhH ωω ,

atunci valorile lui ( )ωH la frecvenţele ( )( )απω += kMk /2 sunt

Page 315: Prelucrarea digitala a semnalelor

189

( ) ( ) ( )∑−

=

+− −==

+=+

1

0

/2 1,...,1,0,][2 M

n

Mnkj MkenhkM

HkH απαπα (4.11)

Cazul 0=α corespunde transformatei Fourier discrete (DFT) în M puncte a secvenţei ][nh . Din relaţia (4.11) rezultă

( ) ( )∑−

=

++=1

0

/21][M

k

MnkjekHM

nh απα n = 0, 1, . . ., M-1 (4.12)

Pentru 0=α , ][nh reprezintă transformata Fourier discretă inversă (IDFT) a lui ( ) kH . Dacă se înlocuieşte expresia lui h[n] în expresia funcţiei de sistem, se obţine

( ) ( ) ( )∑ ∑ ∑−

=

=

−−

=

+−

+==

1

0

1

0

1

0

/21][M

n

M

n

nM

k

Mnkjn zekHM

znhzH απα (4.13)

Schimbând ordinea de sumare în (4.13), se obţine

( ) ( )( )( )( )∑

∑ ∑−

=−+

=

=

−+

−+−

=

=

+=

1

01/2

2

1

0

1

0

1/2

11

1)(

M

kMkj

jM

M

k

M

n

nMkj

zekH

Mez

zeM

kHzH

απ

πα

απ

α

α (4.14)

Astfel, funcţia de sistem, )(zH , este caracterizată de setul de eşantioane în frecvenţă ( ) α+kH . Acest filtru FIR poate fi privit ca o cascadă de două filtre, H(z) = H1(z)H2(z). Unul dintre ele este un filtru pieptene, cu funcţia de sistem

)1(1)( 21

παjM ezM

zH −−= (4.15)

Zerourile sale sunt poziţionate în puncte egal depărtate pe cercul unitate ( ) Mkj

k ez /2 απ += k = 0, 1, …, M-1. Al doilea filtru, cu funcţia de sistem

( ) ( )∑−

=−+−

+=

1

01/22 1

)(M

kMkj ze

kHzH απ

α (4.16)

constă dintr-un banc paralel de filtre cu un singur pol ( ) Mkj

k ep /2 απ += k = 0, 1, . . .,M-1 Se observă că poziţionarea polilor este identică cu poziţionarea zerourilor şi ambele apar la ( ) ,/2 Mkk απω += care sunt frecvenţele la

Page 316: Prelucrarea digitala a semnalelor

190

care este specificat răspunsul în frecvenţă dorit. Acest tip de implementare este ilustrat în figura 4.5.

Figura 4.5. Implementarea cu eşantionare în frecvenţă pentru filtre FIR

Când caracteristica răspunsului în frecvenţă a filtrului FIR este de bandă îngustă, mulţi dintre factorii de câştig ( ) α+kH vor fi zero. Prin urmare, filtrele rezonante corespunzătoare pot fi eliminate, reţinându-se doar filtrele cu câştig nenul. Rezultatul este un filtru care necesită un număr mai mic de calcule (multiplicări şi adunări) faţă de implementarea în formă directă, obţinându-se astfel o implementare mult mai eficientă. În cazul când răspunsul la impuls este real, structura cu eşantionare în frecvenţă poate fi simplificată şi mai mult, ţinând seama de simetria în ( )α+kH , şi anume, ( ) ( )kMHkH −= * pentru α = 0 şi

−−=

+

21

21 * kMHkH pentru α =

21 . Aceste relaţii se deduc uşor

din relaţia (4.11). Ca rezultat al acestei simetrii, o pereche de filtre cu un singur pol pot fi combinate pentru a forma un filtru cu doi poli cu coeficienţi reali. Astfel, pentru α = 0 funcţia de transfer ( )zH 2 se reduce la

( ) ( ) ∑−

=−−

− +−+

+−

=2/)1(

121

1

12 )/2cos(21)()(

10 M

k zzMkzkBkA

zHzH

π , M impar (4.17)

Page 317: Prelucrarea digitala a semnalelor

191

( ) ∑−

=−−

−− +−+

++

+−

=1)2/(

121

1

112 )/2cos(21)()(

1)2/(

1)0( M

k zzMkzkBkA

zMH

zHzH

π, M par

(4.17’) unde )()()( kMHkHkA −+=

MkjMkj ekMHekHkB /2/2 )()()( ππ −+= − (4.18) Expresii similare se pot obţine şi pentru α = ½.

Exemplul 4.1. Să se deseneze diagrama bloc pentru implementarea formei

directe şi cea cu eşantionare în frecvenţă, pentru M = 32 şi α = 0 , pentru filtrul FIR de fază liniară, cu funcţia de transfer

=

=

=

=

15,....,5,4,0

3,21

2,1,0,1

322

k

k

kkH π

Să se compare complexitatea calculului pentru aceste două structuri. Soluţie. Deoarece filtrul este de fază liniară, răspunsul său la impuls prezintă o formă de simetrie care va conduce, în cazul implementării în forma directă, la reducerea numărului de multiplicări cu un factor de 2, adică de la 32 la 16. Numărul de sumatoare este 31. Diagrama bloc a formei directe de implementare este ilustrată în figura 4.6.

Figura 4.6. Implementarea în forma directă a filtrului FIR de fază liniară pentru M=32

În implementarea filtrului prin structura cu eşantionare în frecvenţă s-au folosit relaţiile (4.15) şi (4.17), în care s-au eliminat toţi

Page 318: Prelucrarea digitala a semnalelor

192

termenii care au coeficienţii cu câştig zero ( ) kH . Coeficienţii cu câştig nenul sunt )(kH şi perechile corespunzătoare ),( kMH − pentru k = 0,1, 2, 3. Diagrama bloc pentru acest tip de implementare este indicată în figura 4.7. Deoarece H(0) = 1, filtrul cu un singur pol nu necesită operaţii de multiplicare. Cele trei filtre cu doi poli necesită trei multiplicări fiecare, deci, în total, nouă multiplicări. Numărul total de sumări este 14. Prin urmare, implementarea cu eşantionare în frecvenţă a filtrului FIR, este, din punct de vedere al calculului, mult mai eficientă decât forma directă de implementare.

Figura 4.7. Implementarea cu eşantionare în frecvenţă a filtrului FIR din exemplul 4.1.

4.2.4. Structura lattice În acest paragraf se introduce o altă structură de filtru FIR, numită lattice, des utilizată în implementarea filtrelor adaptive. Se consideră o succesiune de filtre FIR cu funcţiile de transfer

Page 319: Prelucrarea digitala a semnalelor

193

)()( zAzH mm = m = 0, 1, 2, ..., M-1 (4.19) unde, prin definiţie )(zAm este un polinom

∑=

− ≥+=m

k

kmm mzkzA

1

1][1)( α , (4.20)

şi ( ) .10 =zA Răspunsul la impuls al filtrului de ordin m este 1]0[ =mh şi ][][ kkh mm α= , k = 1, 2, ….,m. Din considerente matematice se defineşte

1]0[ =mα . Dacă ][nx este secvenţa de intrare în filtrul )(zAm şi ][ny secvenţa de ieşire, se poate scrie

∑=

−+=m

km knxknxny

1

][][][][ α (4.21)

Două structuri de realizare a filtrelor FIR în forma directă sunt date în figura 4.8.

Figura 4.8. Forma directă de realizare pentru (a) un filtru FIR, (b) un filtru FIR predictor

Structurile din figura 4.8 sunt în strânsă legătură cu predicţia liniară [16], unde

∑=

−−=m

km knxknx

1][][][ˆ α (4.22)

este valoarea prezisă a lui x[n] pe baza a m intrări anterioare, x[n-1], x[n-2], …, x[n-m], iar ][ˆ][][ nxnxny −= , dat de (4.21), reprezintă eroarea de predicţie. Astfel, ieşirea filtrului FIR dată de relaţia (4.21) poate fi văzută ca eroarea între valoarea adevărată a semnalului x[n] şi valoarea prezisă ].[ˆ nx

Page 320: Prelucrarea digitala a semnalelor

194

Se consideră un filtru de ordinul m = 1. Ieşirea unui astfel de filtru este

]1[]1[][][ 1 −+= nxnxny α (4.23) În figura 4.9 se prezintă un filtru lattice de ordinul întâi sau un filtru lattice cu o singură treaptă. Dacă în această structură se excită ambele intrări cu x[n] şi se selectează ieşirea de pe ramura de sus, se obţine exact semnalul dat de relaţia (4.23), dacă se alege K1=α1[1]. Parametrul K1 din structura lattice este denumit coeficient de reflexie.

Figura 4.9. Filtru lattice cu o treapta

Pentru această structură se pot scrie relaţiile:

]1[][]1[][][]1[][]1[][][

][][][

10011

10101

00

−+=−+=−+=−+=

==

nxnxKngnfKngnxKnxngKnfnf

nxngnf (4.24)

În continuare, se consideră un filtru FIR pentru care m = 2. În acest caz ieşirea structurii în formă directă este

]2[]2[]1[]1[][][ 22 −+−+= nxnxnxny αα (4.25) Conectând în cascadă două trepte de structuri lattice ca în figura 4.10, este posibil a se obţine ieşirea ca în relaţia (4.25).

Figura 4.10. Filtru lattice cu două trepte

Ieşirea din prima treaptă este dată de relaţia (4.24), iar ieşirea din treapta a doua este

]1[][][]1[][][

1122

1212

−+=−+=

ngnfKngngKnfnf

(4.26)

Page 321: Prelucrarea digitala a semnalelor

195

Înlocuind f1[n] şi g1[n] din relaţia (4.24) în relaţia (4.26) se obţine

[ ]

]2[]1[)1(][]2[]1[]1[][][

221

1212

−+−++=−+−+−+=

nxKnxKKnxnxnxKKnxKnxnf

(4.27)

Relaţia (4.27) este identică cu ieşirea filtrului FIR în forma directă dată de (4.25), dacă între coeficienţi există relaţiile

)1(]1[]2[ 21222 KKK +== αα (4.28) sau, echivalent

]2[1

]1[]2[2

2122 α

αα+

== KK (4.29)

Astfel, coeficienţii de reflexie ai structurii lattice, K1 şi K2, pot fi obţinuţi din coeficienţii ][kmα ai formei directe de implementare. Continuând procedeul de cascadare a structurilor lattice, se poate demonstra prin inducţie echivalenţa dintre filtrul FIR de ordin m implementat în forma directă şi filtrul lattice de ordin m sau cu m trepte. Filtrul lattice este descris, în general, de următorul sistem de ecuaţii recursive:

][][][ 00 nxngnf == (4.30) 1,...,2,1]1[][][ 11 −=−+= −− MmngKnfnf mmmm (4.31) 1,...,2,1]1[][][ 11 −=−+= −− MmngnfKng mmmm (4.32)

Ieşirea filtrului cu (M-1) trepte corespunde ieşirii filtrului FIR de ordin (M-1). Prin urmare ][][ 1 nfny M −= .

Figura 4.11. (a) Filtru lattice cu M-1 trepte, (b) Structura unei trepte

Page 322: Prelucrarea digitala a semnalelor

196

Figura 4.11 ilustrează un filtru lattice cu M-1 trepte într-o diagramă bloc, împreună cu structura unei trepte, caracterizată de relaţiile (4.31) şi (4.32). Ca urmare a echivalenţei între un filtru FIR în formă directă şi un filtru lattice, ieşirea fm[n] a unui filtru lattice de ordin m poate fi exprimată sub forma

∑=

=−=m

kmmm knxknf

01]0[][][][ αα (4.33)

Deoarece relaţia (4.33) este o sumă de convoluţie, transformata sa Z este )()()( zXzAzF mm = , unde ][)( nZzA mm α= (4.34)

sau, echivalent

)()(

)()(

)(0 zF

zFzXzF

zA mmm == (4.34’)

Cealaltă ieşire a structurii lattice, ][ngm , ar putea fi, de asemenea, exprimată sub forma unei sume de convoluţie ca în relaţia (4.33), utilizând un alt set de coeficienţi, notaţi .][kmβ Din relaţia (4.24) se observă cum coeficienţii filtrului care produce ieşirea ][1 nf sunt ]1[,1,1 11 α=K în timp ce coeficienţii filtrului cu ieşirea ][1 ng , sunt .1],1[1, 11 α=K Se observă că aceste două seturi de coeficienţi sunt în ordine inversă. Dacă se consideră filtrul cu două trepte, cu ieşirea dată de relaţia (4.27), atunci

][2 ng ar putea fi exprimat sub forma

[ ]

]2[]1[]1[][]2[]2[]1[)1(][

]2[]1[]1[][]1[][][

22

212

112

1122

−+−+=−+−++=

−+−+−+=−+=

nxnxnxnxnxKKnxK

nxnxKnxKnxKngnfKng

αα

În consecinţă, coeficienţii filtrului sunt 1],1[],2[ 22 αα , iar pentru filtrul ce produce ieşirea ][2 nf sunt ]2[],1[,1 22 αα . Aici, din nou, cele două seturi de coeficienţi sunt în ordine inversă. Din dezvoltarea de mai sus se observă că ieşirea ][ngm a filtrului lattice de ordin m ar putea fi exprimată cu ajutorul sumei de convoluţie

∑=

−=m

kmm knxkng

0][][][ β (4.35)

unde coeficienţii filtrului, ][kmβ , sunt asociaţi cu ai filtrului care produce ieşirea ][][ nynfm = , dar care operează în ordine inversă.

Page 323: Prelucrarea digitala a semnalelor

197

Se presupune în continuare că valorile x[n], x[n-1], . . . ,x[n-m+1], sunt utilizate pentru predicţia liniară a eşantionului de semnal x[n-m] [47]. Valoarea prezisă este

∑−

=

−−=−1

0][][][ˆ

m

km knxkmnx β (4.36)

unde coeficienţii ][kmβ ai filtrului predictor sunt chiar coeficienţii ][kmα luaţi în ordine inversă, prin urmare

][][ kmk mm −=αβ k = 0, 1, . . . ,m (4.37) Predicţia efectuată pe baza relaţiei (4.36) se numeşte predicţie inversă sau înapoi, adică datele circulă în sens invers prin predictorul cu coeficienţii .][kmβ− Faţă de acesta, filtrul cu funcţia de transfer )(zAm , dată de (4.34’) efectuează o predicţie directă sau înainte. În domeniul transformatei Z, relaţia (4.35) devine

)()()( zXzBzG mm = , unde ][)( nZzB mm β= (4.38) Rezultă atunci

)()(

)(zXzGzB m

m = (4.39)

)(zBm reprezintă funcţia de sistem a filtrului FIR cu coeficienţii )(kmβ , care se poate scrie

∑=

−=m

k

kmm zkzB

0][)( β (4.40)

Înlocuind (4.37) în (4.40) se obţine

)(][][

][)(

1

00

0

−−

=

=

=

===

−=

∑∑

zAzzlzzl

zkmzB

mm

m

l

lm

mm

l

mlm

m

k

kmm

αα

α (4.41)

Din relaţia (4.41) rezultă că zerourile filtrului FIR cu funcţia de transfer )(zBm sunt reciproce zerourilor lui )(zAm . Din acest motiv

)(zBm este numit polinom reciproc sau invers al lui )(zAm . Aplicând transformata Z relaţiilor recursive (4.30) ÷ (4.32), se obţine

)()()( 00 zXzGzF == (4.42) )()()( 1

11 zGzKzFzF mmmm −

−− += m = 1, 2, . . . , M-1 (4.43)

)()()( 11

1 zGzzFKzG mmmm −−

− += m = 1, 2, . . . , M-1 (4.44)

Page 324: Prelucrarea digitala a semnalelor

198

Împărţind fiecare ecuaţie prin )(zX , se obţin rezultatele dorite, sub forma 1)()( 00 == zBzA (4.45)

)()()( 11

1 zBzKzAzA mmmm −−

− += m = 1, 2, . . ., M-1 (4.46) )()()( 1

11 zBzzAKzB mmmm −

−− += m = 1, 2, . . . , M-1 (4.47)

Astfel, o treaptă lattice, este descrisă în domeniul Z de o ecuaţie matriceală de forma

=

−−

)()(

11

)()(

11

1

zBzzA

KK

zBzA

m

m

m

m

m

m (4.48)

4.2.4.1. Conversia coeficienţilor structurii lattice în coeficienţi ai filtrului în formă directă

Coeficienţii filtrului FIR realizat în formă directă ][kmα pot fi obţinuţi din coeficienţii iK ai structurii lattice, folosind următoarele relaţii:

1)()( 00 == zBzA (4.49) )()()( 1

11 zBzKzAzA mmmm −

−− += , m = 1, 2, . . . , M-1 (4.50)

)()( 1−−= zAzzB mm

m , m = 1, 2, . . . , M-1 (4.51) Soluţia este obţinută recursiv, începând cu rangul m = 1. Astfel se obţine o succesiune de (M-1) filtre FIR, fiecare din ele pentru o valoare a lui m. Procedura este ilustrată în exemplul următor. Exemplul 4.2. Se dă un filtru lattice cu trei trepte având coeficienţii K1 = ¼, K2 = ½, 3

13K = . Să se determine coeficienţii filtrului FIR în formă

directă. Soluţie. Problema se rezolvă recursiv, utilizând relaţia (4.50) începând cu m = 1.

Astfel, 1110

1101 4

111)()()( −−− +=+=+= zzKzBzKzAzA .

Prin urmare, coeficienţii filtrului FIR corespunzători structurii lattice cu o singură treaptă, sunt .4/1]1[,1]0[ 111 === Kαα

Deoarece )(zBm este reciprocul lui )(zAm , rezultă 11 4

1)( −+= zzB .

Page 325: Prelucrarea digitala a semnalelor

199

Se adaugă a doua treaptă structurii lattice. Pentru m=2, din (4.50) rezultă

211

1212 2

1831)()()( −−− ++=+= zzzBzKzAzA

Parametrii filtrului FIR corespunzători structurii lattice cu două trepte sunt .2/1]2[,8/3]1[,1]0[ 222 === ααα Din (4.51) rezultă atunci

212 8

321)( −− ++= zzzB

În final, prin adăugarea celei de-a treia trepte în structura lattice, rezultă polinomul

3212

1323 3

185

24131)()()( −−−− +++=+= zzzzBzKzAzA

şi, ca urmare, filtrul FIR în formă directă este caracterizat de coeficienţii

31]3[,

85]2[,

2413]1[,1]0[ 3333 ==== αααα

În general, structura lattice cu parametrii ,...,,, 21 mKKK corespunde unei clase de m filtre FIR în forma directă cu funcţiile de sistem ).(),...,(),( 21 zAzAzA m Este interesant de observat că o caracterizare a acestei clase de filtre FIR în formă directă necesită m(m+1)/2 coeficienţi, în timp ce o caracterizare lattice necesită doar m coeficienţi de reflexie iK . Motivul pentru care structura lattice produce o reprezentare mult mai compactă pentru clasa de filtre FIR de ordin m se datoreză faptului că adăugarea treptelor la structura lattice nu modifică parametrii treptelor anterioare, în timp ce coeficienţii funcţiei de sistem )(zAm sunt total diferiţi de coeficienţii unui filtru FIR de ordin inferior, cu funcţia de sistem ).(1 zAm− O formulă pentru determinarea recursivă a coeficienţilor ][kmα ai filtrului poate fi obţinută din polinoamele date în relaţiile (4.49)÷(4.51). Din relaţia (4.50) se obţine

∑ ∑∑−

=

=

+−−

−−

=

−−

−−+=

⇔+=1

0

1

0

)1(11

0

11

1

]1[][][

)()()(m

k

m

k

kmm

km

km

km

mmmm

zkmKzkzk

zBzKzAzA

ααα (4.52)

Prin egalarea coeficienţilor de puteri egale a lui 1−z şi reamintind că 1]0[ =mα pentru m = 1,2,...,M-1, se obţin ecuaţiile recursive dorite pentru coeficienţii filtrului FIR sub forma

Page 326: Prelucrarea digitala a semnalelor

200

1]0[ =mα (4.53) mm Km =][α (4.54)

.1...,2,1,11][][][][][][ 1111

−=−≤≤−+=−+= −−−−

MmmkkmmkkmKkk mmmmmmm αααααα

(4.55)

4.2.4.2. Conversia coeficienţilor filtrului FIR din forma directă în coeficienţi ai structurii lattice

Dacă se cunosc coeficienţii filtrului FIR pentru implementarea în formă directă sau, echivalent, polinomul )(zAm şi se doreşte determinarea coeficienţilor corespunzători structurii lattice, de ordin m, atunci

].[mK mm α= Pentru a obţine coeficientul 1−mK sunt necesare polinoamele )(1 zAm− deoarece, în general, mK este obţinut din polinomul )(zAm

pentru m=M-1, M-2,..,1. Prin urmare, trebuie calculate succesiv polinoamele )(zAm , începând de la m = M-1 până la m = 1. Relaţia recursivă dorită pentru polinoame se determină uşor din (4.46) şi (4.47).

[ ])()()()()()(

11

11

1

zAKzBKzAzBzKzAzA

mmmmm

mmmm

−−

−−

−+=

+=

de unde rezultă

1,...,2,11

)()()( 21 −−=

−−

=− MMmK

zBKzAzAm

mmmm (4.56)

Astfel se calculează toate polinoamele de grad inferior )(zAm începând cu )(1 zAM − şi se obţin coeficienţii doriţi ai structurii lattice din relaţia ].[mK mm α= Se observă că procedura prezentată este operaţională atât timp cît 1≠mK pentru m = 1, 2, ...,M-1. Din ecuaţia recursivă (4.56), se poate obţine o formulă pentru calculul recursiv al coeficienţilor mK , începând cu m = M-1 până la m=1. Pentru m = M-1, M-2,...,1 se obţine

1]0[][ 1 == −mmm mK αα (4.57)

11,][1

][][][1

][][][ 221 −≤≤

−−−

=−−

=− mkm

kmmkK

kKkkm

mmm

m

mmmm α

αααβαα (4.58)

de asemenea, recursivă.

Page 327: Prelucrarea digitala a semnalelor

201

Ecuaţia recursivă (4.58) nu poate fi folosită, dacă .1=mK Dacă aceasta se întâmplă, înseamnă că polinomul )(1 zAm− are o rădăcină pe cercul unitate. Aceasta poate fi factorizată în polinomul )(1 zAm− şi procesul iterativ dat de relaţia (4.58) se reia pentru sistemul de ordin redus. Exemplul 4.3. Să se determine coeficienţii structurii lattice corespunzătoare filtrului FIR cu funcţia de sistem

3213 3

185

24131)()( −−− +++== zzzzAzH

Soluţie. Mai întâi se observă că .31]3[33 == αK Mai departe,

3213 24

1385

31)( −−− +++= zzzzB

Relaţia de decrementare din (4.56), cu m =3, conduce la

2123

3332 2

1831

1)()(

)( −− ++=−−

= zzK

zBKzAzA

Prin urmare, 2/1]2[22 ==αK şi 112 )8/3(2/1)( −− ++= zzzB . Repetând

decrementarea recursivă, se obţine

122

2221 4

111

)()()( −+=−−

= zK

zBKzAzA

Astfel, .41]1[11 ==αK

4. 3. Implementarea sistemelor cu răspuns infinit la impuls

În această secţiune se consideră diferite structuri de sisteme de tip IIR descrise prin ecuaţia cu diferenţe (4.1) sau, echivalent, prin funcţia de sistem (4.2). Ca şi în cazul sistemelor FIR, există mai multe tipuri de structuri de implementare, incluzând structura în formă directă, structura în cascadă, în paralel, structura lattice numai cu poli şi structura lattice cu poli şi zerouri.

Page 328: Prelucrarea digitala a semnalelor

202

4.3.1. Implementarea în formă directă

Funcţia de sistem dată în relaţia (4.2) ce caracterizează un sistem IIR, poate fi văzută ca o cascadă de două sisteme, astfel încât

)()()( 21 zHzHzH = (4.59) unde )(1 zH conţine toate zerourile lui )(zH iar )(2 zH conţine toţi polii lui )(zH , adică

∑=

−=M

k

kk zbzH

01 )( (4.60)

şi ∑=

−+= N

k

kk za

zH

1

2

1

1)( (4.61)

Figura 4.12. Implementarea sistemului IIR în forma directă I

)(1 zH este un sistem FIR, iar implementarea sa în formă directă a fost prezentată în figura 4.1. Conectând sistemul numai cu poli )(2 zH în cascadă cu )(1 zH , se obţine implementarea în forma directă I, ilustrată în figura 4.12. Această implementare necesită M+N+1 multiplicatoare, M+N sumatoare, şi M+N locaţii de memorie.

Page 329: Prelucrarea digitala a semnalelor

203

Dacă filtrul numai cu poli, )(2 zH , este plasat înaintea filtrului numai cu zerouri, )(1 zH , se obţine o structură mai compactă. Se reaminteşte că ecuaţia cu diferenţe pentru un filtru numai cu poli este

∑=

+−−=N

kk nxknwanw

1][][][ (4.62)

Dacă ][nw este intrarea sistemului numai cu zerouri, atunci ieşirea sa este

∑=

−=M

kk knwbny

0][][ (4.63)

Se observă că ambele relaţii (4.62) şi (4.63) implică versiuni întârziate ale secvenţei .][nw Prin urmare, este necesară doar o singură linie de întârziere sau un singur set de locaţii de memorie pentru a stoca valori trecute ale lui .][nw Structura rezultată care implementează (4.62) şi (4.63) este numită implementarea în forma directă II şi este ilustrată în figura 4.13.

Figura 4.13. Implementarea sistemului IIR în forma directă II

Această structură necesită M+N+1 multiplicatoare, M+N sumatoare, şi maximul dintre NM , de locaţii de memorie. Implementarea care

Page 330: Prelucrarea digitala a semnalelor

204

minimizează numărul de locaţii de memorie se numeşte canonică. Forma directă II este canonică. Structurile din figurile 4.12 şi 4.13 sunt amândouă numite implementări în “formă directă” deoarece ele se obţin direct din funcţia de sistem )(zH fără nici o rearanjare a acesteia. Din nefericire, ambele implementări sunt, în general, extrem de senzitive la cuantizarea parametrilor şi nu sunt recomandate în aplicaţii practice, problemă detaliată în Capitolul 5.

4.3.2. Grafuri de semnal şi structuri transpuse

Un graf de semnal oferă o alternativă echivalentă de reprezentare a structurilor de diagramă bloc şi este utilizat pentru a ilustra diferite implementări ale sistemelor. Elementele de bază ale unui graf sunt nodurile şi ramurile. Un graf de semnal are la bază un set de ramuri orientate ce se conectează la noduri. Prin definiţie, semnalul care iese dintr-o ramură este egal cu semnalul care intră în ramură înmulţit cu câştigul ramurii. Suma algebrică a semnalelor din toate ramurile conectate la un nod al unui graf de semnal este egală cu zero. Pentru fixarea ideilor, se va considera un sistem cu două zerouri şi cu doi poli ca în diagrama bloc din figura 4.14a, structură echivalentă cu cea din figura 4.14.b. Diagrama bloc a sistemului poate fi convertită într-un graf de semnal ca în figura 4.14c. Se observă că graful de semnal conţine cinci noduri etichetate de la 1 la 5. Nodurile care conţin sumatoare se numesc de sumare, iar cele în care se conectează două sau mai multe ramuri şi nu conţin sumatoare, se numesc de branşare. Ambele tipuri de noduri se reprezintă la fel în graf. Două dintre noduri, (1,3), sunt noduri de sumare, în timp ce celelalte noduri reprezintă puncte de branşare din graf. Transmitanţele ramurilor sunt indicate pe ramurile grafului. Se observă că o întârziere este indicată prin transmitanţa z-1. Când transmitanţa ramurii este unitară, ea rămâne neetichetată. Nodul corespunzător intrării în sistem se numeşte nod sursă iar nodul corespunzător semnalului de ieşire, nod receptor. Se mai observă că graful de semnal conţine aceleaşi informaţii de bază ca şi implementarea diagramei bloc a unui sistem. Singura diferenţă aparentă este că atât sumatoarele cît şi punctele de branşare a ramurilor sunt reprezentate prin noduri în graf.

Page 331: Prelucrarea digitala a semnalelor

205

Figura 4.14. (a), (b) Filtru de ordinul 2 şi (c) graful de semnal corespunzător

Un rezultat de bază din teoria grafurilor se referă la transformarea unui graf de semnal în altul fără a modifica relaţiile funcţionale intrare-ieşire. O tehnică utilizată în obţinerea structurilor echivalente pentru sisteme IIR şi FIR este dată de teorema reversibilităţii grafului [48]. Această teoremă enunţă faptul că dacă se inversează direcţiile tuturor transmitanţelor ramurilor, nodurile de sumare se schimbă în noduri de branşare, şi invers, şi se inversează intrarea cu ieşirea în graful de semnal, funcţia de sistem rămâne neschimbată. Structura rezultată este denumită structură sau formă transpusă. De exemplu, transpunerea grafului de semnal din figura 4.14c, este ilustrată în figura 4.15a. Implementarea diagramei bloc corespunzătoare a formei transpuse este ilustrată în figura 4.15b.

Figura 4.15. (a) Graful de semnal al structurii transpuse şi (b) implementarea sa

În continuare se va aplica teorema de transpunere formei directe II, inversându-se toate direcţiile ramurilor din figura 4.13, schimbând nodurile de branşare cu sumatoare şi sumatoarele cu noduri de branşare, şi în final, interschimbând intrarea şi ieşirea. Aceste operaţii duc la structura formei directe II transpuse, ca în figura 4.16a. Această figură poate fi

Page 332: Prelucrarea digitala a semnalelor

206

redesenată ca în figura 4.16b în care se indică intrarea la stânga şi ieşirea la dreapta.

Figura 4.16 a, b, Structura în forma directă II transpusă

Această formă transpusă a structurii în forma directă II poate fi descrisă de următorul sistem de ecuaţii cu diferenţe, pentru N>M:

][]1[][ 01 nxbnwny +−= (4.64) Mknxbnyanwnw kkkk ,....,2,1][][]1[][ 1 =+−−= + (4.65)

][][

1,...,1],[]1[][ 1

nyanwNMknyanwnw

NN

kkk

−=−+=−−= + (4.66)

Sistemul de ecuaţii cu diferenţe (4.64) ÷ (4.66) este echivalent cu o singură ecuaţie cu diferenţe:

∑ ∑= =

−+−−=N

k

M

kkk knxbknyany

1 0][][][ (4.67)

Se observă că transpusa structurii în formă directă II necesită un număr de multiplicatoare, sumatoare şi locaţii de memorie egal cu cel al structurii în forma directă originală. Performanţele structurilor directe şi transpuse pot fi diferite în implementarea cu precizie finită, acestea depinzând de valorile particulare ale parametrilor, după cum se va arăta în Capitolul 5.

Page 333: Prelucrarea digitala a semnalelor

207

Un sistem FIR se obţine din relaţia (4.67) impunând Nkak ,...,2,1,0 == . Acesta poate fi implementat în forma directă

transpusă prezentată în figura 4.17, ce se obţine din figura 4.16b impunând Nkak ,....,2,1,0 == . Implementare în formă transpusă poate fi descrisă

prin sistemul de ecuaţii cu diferenţe ][][ nxbnw MM = (4.68)

1,...,2,1][]1[][ 1 −−=+−= + MMknxbnwnw kkk (4.69) ][]1[][ 01 nxbnwny +−= (4.70)

Figura 4.17. Structura transpusa pentru un filtru FIR

În tabelul 4.1 sunt prezentate câteva structuri în formă directă şi ecuaţiile cu diferenţe corespunzătoare pentru un sistem IIR cu doi poli şi două zerouri având funcţia de sistem

22

11

22

110

1)( −−

−−

++++

=zazazbzbbzH (4.71)

Acesta este blocul de bază în implementarea sistemelor IIR de ordin înalt. Din cele trei structuri în formă directă prezentate în Tabelul 4.1, structura în formă directă II este cea mai utilizată datorită numărului mic de locaţii de memorie necesar pentru implementare.

Tabelul 4.1 Structuri Ecuaţii de implementare

Form

a di

ectă

I

]2[]1[]2[]1[][][

2

12

10

−−−−−+−+=

nyanyanxbnxbnxbny

Page 334: Prelucrarea digitala a semnalelor

208

Form

a di

rectă

II

]2[]1[][][

][]2[]1[][

2

10

2

1

−+−+=

+−−−−=

nwbnwbnwbny

nxnwanwanw

For

ma

dire

ctă

II tr

ansp

usă

][][][]1[

]]][][]1[][][

222

2

111

10

nyanxbnwnw

nyanxbnwnwnxbny

−=−+

−=−+=

4.3.3. Implementarea în cascadă a sistemelor IIR

Se consideră un sistem IIR cu funcţia de sistem dată de relaţia (4.2). De asemenea, se consideră că MN ≥ . Sistemul poate fi divizat într-o cascadă de subsisteme de ordin doi, astfel încât )(zH poate fi exprimat ca

∏=

=K

kk zHbzH

10 )()( (4.72)

unde K este partea întreagă a lui (N+1)/2. )(zH k are forma generală

22

11

22

11

11

)( −−

−−

++++

=zazazbzbzH

kk

kkk (4.73)

iar b0 este factorul de câştig. Ca şi în cazul sistemelor FIR implementate în cascadă, factorul de câştig poate fi egal distribuit celor K secţiuni ale filtrului astfel că se poate scrie .,..., 03020100 Kbbbbb =

Page 335: Prelucrarea digitala a semnalelor

209

Coeficienţii kia şi kib din subsistemele de ordinul al doilea sunt reali. Aceasta implică faptul că în formarea subsistemelor de ordinul al doilea din (4.73), trebuie grupate perechile de poli şi zerouri complex conjugate. Cu toate acestea, împerecherea a doi poli complex conjugaţi sau reali cu o pereche de zerouri complex-conjugate sau reale, pentru a forma un subsistem poate fi făcută arbitrar. Prin urmare, factorul pătratic de la numărătorul sau numitorul relaţiei (4.73), ar putea conţine o pereche de rădăcini reale sau o pereche de rădăcini complex-conjugate. Dacă

MN > , unele subsisteme de ordinul al doilea ar putea avea unii coeficienţi de la numărător nuli. Dacă N este impar, unul dintre subsisteme, să zicem )(zH k , trebuie să aibă 02 =ka , astfel că subsistemul este de ordinul întâi. Pentru a păstra o anumită modularitate în implementarea lui )(zH , este preferabil de utilizat subsistemele de ordinul al doilea în structurile cascadă şi de a avea coeficienţi nuli în unele dintre subsisteme. Fiecare din subsistemele de ordinul al doilea cu funcţia de sistem (4.73), poate fi implementat fie în forma directă I, fie în forma directă II sau în forma directă II transpusă. Deoarece există mai multe moduri de împerechere a polilor şi zerourilor lui )(zH într-o cascadă de secţiuni de ordinul al doilea, şi mai multe moduri de ordonare a subsistemelor rezultate, este posibil a se obţine o varietate de implementări în cascadă. Deşi toate implementările în cascadă sunt echivalente pentru precizie infinită, diferitele tipuri de implementări pot diferi semnificativ când sunt implementate cu aritmetică de precizie finită. Forma generală a unei structuri în cascadă este ilustrată în figura 4.18. Folosind structura în forma directă II pentru fiecare subsistem, algoritmul de calcul pentru implementarea sistemului IIR cu funcţia de sistem )(zH , este descris de următorul sistem de ecuaţii

][][0 nxny = (4.74) Kknynwanwanw kkkkkk ,.....,2,1][]2[]1[][ 121 =+−−−−= − (4.75)

Kknwbnwbnwny kkkkkk ,....,2,1]2[]1[][][ 21 =−+−+= (4.76) ][][ 0 nybny K= (4.77)

Acest sistem de ecuaţii dă o descriere completă a unei structuri în cascadă implementată cu module în forma directă II.

Page 336: Prelucrarea digitala a semnalelor

210

Figura 4.18. Structura în cascadă cu secţiuni de ordinul II şi realizarea în forma directă II

a fiecărei secţiuni

4.3.4. Implementarea în paralel

Implementarea în paralel a unui sistem IIR poate fi obţinută efectuând o dezvoltare în fracţii simple a lui )(zH . Din nou se presupune că MN ≥ şi că polii sunt distincţi. Prin această dezvoltare în fracţii simple, se obţine

∑=

−−+=

N

k k

k

zpA

CzH1

11)( (4.78)

unde kp sunt polii, kA sunt coeficienţii (reziduurile) în dezvoltarea în fracţii simple, constanta C este NN abC /= dacă N=M şi nulă dacă N>M. Implementarea relaţiei (4.78) se realizează cu un banc paralel de filtre cu un singur pol. Unii dintre polii lui )(zH pot avea valori complexe. În acest caz, coeficienţii kA corespunzători au, de asemenea, valori complexe. Pentru a evita operaţiile cu numere complexe, se pot combina perechi de poli complex conjugaţi pentru a forma subsisteme de ordinul al doilea cu coeficienţi reali. Fiecare din aceste subsisteme are funcţia de sistem

22

11

110

1)( −−

+++

=zaza

zbbzHkk

kkk (4.79)

Page 337: Prelucrarea digitala a semnalelor

211

unde coeficienţii kib şi kia sunt reali. Funcţia generală )(zH poate fi scrisă sub forma

∑=

+=K

kk zHCzH

1)()( (4.80)

unde K este partea întreagă a lui (N+1)/2. Când N este impar unul dintre sistemele )(zH k este cu un singur pol ( 021 == kk ab ). Relaţia (4.80) conduce la structura din figura 4.19a.

Figura 4. 19. (a) Structura în paralel pentru un sistem IIR, (b) Secţiune de ordinul al

doilea pentru realizarea în paralel a sistemelor IIR

Secţiunile de ordinul doi individuale, care sunt blocurile constructive de bază pentru )(zH , pot fi implementate în oricare din formele directe sau transpuse. Structura în formă directă II este ilustrată în figura 4.19b. Cu această structură ca bloc constructiv de bază, implementarea în paralel a sistemului IIR este descrisă de următorul sistem de ecuaţii:

Kknxnwanwanw kkkkk ,...,2,1][]2[]1[][ 21 =+−−−−= (4.81) Kknwbnwbny kkkkk ,...,2,1],1[][][ 10 =−+= (4.82)

∑=

+=K

kk nynCxny

1][][][ (4.83)

Exemplul 4.4. Să se determine implementările în cascadă şi în paralel pentru sistemul descris de funcţia de sistem

( )

−−

+−

+

=−−−−

−−−

1111

111

21

211

21

211

811

431

21321

21110

)(zjzjzz

zzzzH

Page 338: Prelucrarea digitala a semnalelor

212

Soluţie. Implementarea în cascadă se obţine uşor din această formă a funcţiei de sistem. O posibilă împerechere de poli şi zerouri este următoarea

21

21

221

1

1

211

231

)(,

323

871

321

)(−−

−−

−−

+−

−+=

+−

−=

zz

zzzH

zz

zzH

şi, prin urmare, )()(10)( 21 zHzHzH = Implementarea în cascadă este ilustrată în Fig. 9.20a.

Figura 4.20. Realizările (a) în cascadă şi (b) în paralel pentru exemplul 4.4.

Page 339: Prelucrarea digitala a semnalelor

213

Pentru a obţine implementarea în paralel, )(zH trebuie dezvoltat în fracţii parţiale. Astfel,

1

*3

1

3

1

2

1

1

21

211

21

211

811

431

)(−−−−

−−

+

+−

+−

+−

=zj

A

zj

A

z

A

z

AzH

unde A1, A2, A3, şi A3* rezultă A1 = 2,93, A2 = - 17,68, A3 = 12,25 -

j14,57, A3* = 12,25 + j14,57. Recombinând perechile de poli, se obţine

21

1

21

1

211

82,2650,24

323

871

90,1275,14)(−−

−−

+−

++

+−

−−=

zz

z

zz

zzH

Implementarea în paralel este ilustrată în figura 4.20b.

4.3.5. Structuri lattice numai cu poli pentru implementarea sistemelor IIR

În paragraful 4.2.4 s-a dezvoltat o structură de filtru lattice, echivalentă cu un filtru FIR, iar în această secţiune se extinde dezvoltarea la sistemele IIR. Fie un sistem numai cu poli cu funcţia de sistem

)(

1

][1

1)(

1

zAzkazH

NN

k

kN

=+

=

∑=

(4.84)

Implementarea în formă directă a acestui sistem este ilustrată în figura 4.21. Ecuaţia cu diferenţe pentru acest sistem IIR este

∑=

+−−=N

kN nxknykany

1

][][][][ (4.85)

Figura 4.21. Implementarea în formă directă a unui sistem numai cu poli

Dacă în relaţia (4.85) se inversează intrarea cu ieşirea, se obţine

Page 340: Prelucrarea digitala a semnalelor

214

∑=

+−−=N

kN nyknxkanx

1][][][][ (4.86)

sau, echivalent,

∑=

−+=N

kN knxkanxny

1][][][][ (4.86’)

Se observă că ecuaţia (4.86’) descrie un sistem FIR cu funcţia de sistem )()( zAzH N= . Aşadar, un sistem poate fi obţinut din celălalt interschimbând intrarea cu ieşirea. Pe baza acestei observaţii, se poate folosi structura lattice numai cu zerouri descrisă în paragraful 4.2.4, pentru a obţine o structură lattice pentru un sistem IIR numai cu poli, interschimbând rolul intrării cu cel al ieşirii. Mai întâi, pentru filtrul lattice numai cu zerouri ilustrat în figura 4.11 se redefineşte intrarea ca fiind

][][ nfnx N= (4.87) iar ieşirea ca ][][ 0 nfny = , (4.88) invers decât pentru un filtrul lattice numai cu zerouri. Aceste definiţii impun ca valorile ][nfm să se calculeze în ordine descrescătoare [ ],...[],[ 1 nfnf NN − ]. Calculul poate fi realizat rearanjând ecuaţia recursivă din (4.31) de unde se determină soluţia pentru ][1 nfm− în funcţie de

][nfm , adică 1...,,1,]1[][][ 11 −=−−= −− NNmngKnfnf mmmm Ecuaţia (4.32) pentru ][ngm rămâne neschimbată. Rezultatul acestor schimbări este următorul sistem de ecuaţii:

][][ nxnf N = (4.89) 1...,,1,],1[][][ 11 −=−−= −− NNmngKnfnf mmmm (4.90) 1...,,1,],1[][][ 11 −=−+= −− NNmngnfKng mmmm (4.91)

][][][ 00 ngnfny == (4.92) care corespunde structurii ilustrate în figura 4.22. Pentru a demonstra că setul de ecuaţii (4.89) ÷ (4.92) descrie un sistem IIR numai cu poli, se consideră, pentru început, cazul în care N = 1. Ecuaţiile (4.89) ÷ (4.92) se reduc la

]1[][][

][][

0110

1

−−==

ngKnfnfnfnx

Page 341: Prelucrarea digitala a semnalelor

215

]1[][][][

]1[][][

10

0011

−−==−+=

nyKnxnfnyngnfKng

(4.93)

Figura 4.22. Structura lattice pentru un filtru IIR numai cu poli

Ecuaţia pentru ][1 ng poate fi exprimată ca ]1[][][ 11 −+= nynyKng (4.94)

Se observă că ultima relaţie din sistemul (4.93) reprezintă un sistem IIR numai cu poli, de ordinul întâi, în timp ce (4.94) reprezintă un sistem FIR de ordinul întâi. Polul este rezultatul reacţiei ce a fost introduse de soluţionarea lui ][nfm în ordine descendentă. Această reacţie este arătată în figura 4.23a. În continuare, se consideră cazul N=2, care corespunde structurii din figura 4.23b.

Figura 4.23. Implementarea structurii lattice pentru un sistem IIR a) cu un pol şi

b) cu doi poli

Page 342: Prelucrarea digitala a semnalelor

216

Ecuaţiile corespunzătoare acestei structuri sunt

][][][]1[][][]1[][][]1[][][]1[][][

][][

00

0011

0110

1122

1221

2

ngnfnyngnfKngngKnfnfngnfKngngKnfnf

nxnf

==−+=−−=−+=−−=

=

(4.95)

După câteva substituţii simple, se obţine ][]2[]1[)1(][ 221 nxnyKnyKKny +−−−+−= (4.96) ]2[]1[)1(][][ 2122 −+−++= nynyKKnyKng (4.97)

Ecuaţia cu diferenţe (4.96) reprezintă un sistem IIR cu doi poli iar relaţia (4.97) este ecuaţia intrare-ieşire pentru un sistem FIR cu două zerouri. Se observă cum coeficienţii pentru sistemul FIR sunt identici cu cei din sistemul IIR, cu excepţia faptului că apar în ordine inversă. Concluziile de mai sus sunt valabile pentru orice N. Într-adevăr, cu definiţia lui )(zAm dată în (4.34’), funcţia de sistem pentru sistemul numai cu poli este

)(

1)()(

)()()( 0

zAzFzF

zXzYzH

mma === (4.98)

Similar, funcţia de sistem pentru sistemul numai cu zerouri (FIR) este

)()()()(

)()(

)( 1

0

−−==== zAzzBzGzG

zYzGzH m

mm

mmb (4.99)

unde s-au folosit relaţiile (4.38) ÷ (4.44). Astfel, coeficienţii lui )(zH b care caracterizează sistemul FIR sunt identici cu coeficienţii lui )(zAm , exceptând faptul că apar în ordine inversă. Este interesant de observat că structura lattice numai cu poli are o cale numai cu zerouri cu intrarea ][0 ng şi ieşirea ][ng N , identică cu calea corespunzătoare numai cu zerouri în structura lattice numai cu zerouri. Polinomul ),(zBm reprezintă funcţia de sistem pentru calea numai cu zerouri comună ambelor structuri lattice, numită obişnuit funcţie de sistem înapoi sau invers. Structurile lattice numai cu zerouri şi numai cu poli sunt caracterizate de aceiaşi parametri lattice K1, K2, …,KN . Cele două structuri lattice diferă doar prin interconexiunile grafurilor de semnal. În consecinţă, algoritmii pentru conversia coeficienţilor ][kmα

Page 343: Prelucrarea digitala a semnalelor

217

ai implementării în formă directă a unui sistem FIR în parametri lattice, şi invers, se aplică la fel şi structurii numai cu poli. Se reaminteşte că rădăcinile polinomului )(zAN sunt localizate în interiorul cercului unitate, dacă şi numai dacă coeficienţii lattice Km îndeplinesc condiţia 1<mK , pentru toţi m=1,...N. În aplicaţiile practice structura lattice numai cu poli a fost utilizată pentru a modela tractul vocal uman şi stratificarea pământului. În astfel de cazuri coeficienţii lattice mK , au semnificaţia fizică de a fi identici cu coeficienţii reflectaţi în mediul fizic. Acesta este motivul pentru care coeficienţii lattice sunt adeseori numiţi coeficienţi de reflexie. În astfel de aplicaţii, un model stabil pentru un mediu necesită coeficienţi de reflexie subunitari obţinuţi din măsurători asupra semnalelor de ieşire din mediu.

4.3.6. Structuri lattice cu poli şi zerouri pentru implementarea sistemelor IIR

Structura lattice numai cu poli reprezintă blocul constructiv de bază pentru structuri de tip lattice care implementează sistemele IIR care conţin atât poli cât şi zerouri. Se consideră în continuare un sistem IIR cu funcţia de sistem

)()(

][1

][)(

1

0

zAzC

zka

zkczH

N

MN

k

kN

kM

kM

=+

=

=

= , (4.100)

unde notaţia pentru numărător a fost modificată pentru a evita confuzia cu polinomul B(z) prezentat anterior. De asemenea se consideră că MN ≥ . În structura în formă directă II, sistemul din (4.100) este descris de ecuaţiile cu diferenţe

∑=

+−−=N

kN nxknwkanw

1][][][][ (4.101)

∑=

−=M

kM knwkcny

0

][][][ (4.102)

Se observă că relaţia (4.101) reprezintă relaţia funcţională intrare – ieşire a unui sistem IIR numai cu poli, iar (4.102) reprezintă relaţia funcţională intrare – ieşire a unui sistem numai cu zerouri. De asemenea, ieşirea sistemului numai cu zerouri este o combinaţie liniară de ieşiri

Page 344: Prelucrarea digitala a semnalelor

218

întârziate ale sistemului numai cu poli. Acest lucru se observă uşor la structura în formă directă II redesenată în figura 4.24 pentru N=M.

Figura 4.24. Forma directă II de implementare a unui sistem IIR pentru N=M

Deoarece zerourile rezultă prin formarea unor combinaţii liniare din ieşirile anterioare, se poate construi un sistem IIR cu poli şi zerouri utilizând structura lattice numai cu poli ca bloc constructiv de bază. S-a specificat deja că ][ngm este o combinaţie liniară a ieşirii curente şi a celor anterioare. Sistemul

)()()(

)( zBzYzGzH m

mb ==

este un sistem numai cu zerouri. Orice combinaţie liniară de ][ngm este, de asemenea, un sistem numai cu zerouri. Astfel, o structură lattice numai cu poli cu parametrii ,1, NmKm ≤≤ căreia i se adăugă o scară care realizează o combinaţie liniară de ][ngm cu ponderile mv are ca rezultat un sistem IIR cu poli şi zerouri, a cărui structură lattice-scară este indicată în figura 4.25, pentru NM = . Ieşirea sa este

∑=

=M

mmm ngvny

0

][][ (4.103)

unde mv sunt coeficienţii ce determină zerourile sistemului. Ţinând seama de (4.103), funcţia de sistem corespunzătoare sistemului cu poli şi zerouri este

)()(

)()()(

0 zXzGv

zXzYzH m

M

mm∑

=

== (4.104)

Dacă )()( zFzX N= şi ),()( 00 zGzF = relaţia (4.104) poate fi scrisă ca

Page 345: Prelucrarea digitala a semnalelor

219

∑∑

∑=

=

=

===M

m N

M

mmm

N

mm

N

M

m

mm zA

zBv

zAzBv

zFzF

zGzGvzH

0

00

0 0 )(

)(

)()(

)()(

)()(

)( (4.105)

Dacă se compară (4.100) cu (4.105), rezultă că

∑=

=M

mmmM zBvzC

0)()( (4.106)

Din această relaţie se pot determina coeficienţii mv . Astfel s-a demonstrat cum coeficienţii numărătorului polinomial )(zCM determină coeficienţii scării mv , având în vedere că numitorului polinomial

)(zAN , prin coeficienţii săi, determină coeficienţii lattice mK .

Figura 4.25. Structura lattice scară pentru realizarea unui sistem cu poli si zerouri

Cunoscându-se polinoamele )(zCM şi ),(zAN cu ,MN ≥ sunt determinaţi mai întâi parametrii structurii lattice numai cu poli, aşa cum a fost descris mai înainte, cu algoritmul de conversie prezentat în paragraful 4.2.4, ce converteşte coeficienţii formei directe de implementare în coeficienţi lattice. Cu ajutorul relaţiei recursive de decrementare date de (4.56) se obţin coeficienţii lattice mK şi apoi polinoamele

.,...,2,1),( NmzB m = Coeficienţii scării sunt determinaţi din relaţia (4.106), după cum urmează:

∑−

=

+=1

0)()()(

m

kmmkkm zBvzBvzC (4.107)

sau, echivalent, )()()( 1 zBvzCzC mmmm += − (4.108)

Page 346: Prelucrarea digitala a semnalelor

220

Astfel, )(zCm poate fi calculat recursiv din polinoamele inverse .,....,2,1),( MmzBm = Deoarece 1][ =mmβ pentru toţi m, coeficienţii

mv , Mm ,....,1,0= pot fi determinaţi observând că Mmmcv mm ,....,1,0][ == (4.109)

Rescriind (4.108) în forma )()()(1 zBvzCzC mmmm −=− (4.110)

şi calculând această relaţie recursivă în sens invers pentru m ( 2,....,1, −= MMm ), se obţin coeficienţii ][mcm şi, prin urmare, parametrii scării, conform relaţiei (4.109). Structurile filtrelor lattice-scară prezentate mai sus, necesită un minimum de memorie dar nu şi un număr minim de multiplicări. Deşi există structuri lattice cu un singur multiplicator pe treaptă, structurile lattice cu două multiplicatoare pe fiecare treaptă, descrise anterior sunt cele mai folosite în aplicaţiile practice. În concluzie, modularitatea, stabilitatea structurii datorată coeficienţilor mK şi robusteţea în ceea ce priveşte efectele lungimii finite a cuvintelor, fac structurile lattice foarte atractive în multe aplicaţii practice, care includ sistemele de procesare a vocii, filtrarea adaptivă şi procesarea semnalelor geofizice.

4. 4. Implementarea şi analiza sistemelor discrete,

liniare, invariante în timp pe baza variabilelor de stare

Până acum, analiza sistemelor liniare, invariante în timp a fost limitată la o descriere externă cu ajutorul unei relaţii funcţionale intrare-ieşire. Cu alte cuvinte, sistemul a fost caracterizat de ecuaţii matematice ce leagă semnalul de intrare de semnalul de ieşire. În această secţiune se introduc conceptele de bază despre descrierea internă a sistemelor discrete, liniare, invariante în timp, cauzale. Descrierea internă a sistemului implică o legătură între semnalele de intrare şi de ieşire şi, de asemenea, un set adiţional de variabile numite variabile de stare. Astfel, ecuaţiile matematice ce descriu un sistem, sunt uzual divizate în două părţi:

1. Un set de ecuaţii matematice ce pun în evidenţă relaţia dintre variabilele de stare ale sistemului şi semnalul de intrare;

Page 347: Prelucrarea digitala a semnalelor

221

2. Un al doilea set de ecuaţii matematice ce stabilesc legătura între variabilele de stare şi intrarea curentă cu semnalul de ieşire.

Variabilele de stare dau informaţii despre toate semnalele interne ale sistemului. Ca urmare, descrierea internă dă informaţii mai detaliate despre sistem în comparaţie cu descrierea intrare-ieşire. Cu toate că analiza internă este aplicată în special la sisteme discrete, liniare, invariante în timp, cauzale cu o singură intrare şi o singură ieşire, tehnicile de analiză pot fi aplicate şi sistemelor neliniare, sistemelor variante în timp şi sistemelor cu intrări şi ieşiri multiple. Descrierea aleasă (prin relaţie funcţională intrare – ieşire sau descrierea cu ajutorul variabilelor de stare) depinde de problemă, de informaţiile disponibile, precum şi de întrebările cărora trebuie date răspunsuri. În continuare se prezintă tehnici de analiză a sistemelor în spaţiul stărilor şi sunt dezvoltate structuri pe baza variabilelor de stare destinate implementării sistemelor discrete, liniare, invariante în timp.

4.4.1. Conceptul de stare

După cum s-a observat deja, determinarea ieşirii unui sistem necesită prezenţa semnalului de intrare şi un set de condiţii iniţiale. Dacă un sistem nu este relaxat la un moment iniţial, fie acesta 0n , cunoaşterea semnalului de intrare ][nx pentru 0nn ≥ , nu este suficientă pentru a determina în mod unic ieşirea ][ny , pentru 0nn ≥ . Acest lucru este posibil numai dacă sunt cunoscute condiţiile iniţiale ale sistemului la

0nn = . Setul de condiţii iniţiale este denumit starea sistemului la momentul 0nn = . Definiţie. Starea unui sistem la momentul 0n este cantitatea de informaţie ce trebuie furnizată la momentul 0n , care, împreună cu semnalul de intrare ][nx pentru 0nn ≥ , determină în mod unic ieşirea pentru toţi 0nn ≥ . Cu această definiţie, conceptul de stare conduce la o descompunere a sistemului în două părţi, o parte cu memorie şi o parte fără memorie. Informaţia stocată în locaţiile de memorie constituie setul de condiţii iniţiale şi este denumită starea sistemului. Ieşirea curentă a sistemului devine o funcţie de valoarea curentă a intrării şi de starea curentă. Dacă valoarea curentă a intrării este cunoscută, este necesar un

Page 348: Prelucrarea digitala a semnalelor

222

mecanism pentru a actualiza starea sistemului. Prin urmare, starea sistemului la momentul 10 +n trebuie să depindă de starea sistemului la momentul 0n şi de valoarea semnalului de intrare ][nx , la 0nn = . Următorul exemplu ilustrează abordarea descrierii interne a unui sistem. Fie un sistem discret, liniar, invariant în timp, cauzal descris de ecuaţia cu diferenţe

]1[2][]1[21][ −++−= nxnxnyny (4.111)

Figura 4.26a arată implementarea în formă directă II a acestui sistem. Se observă că sistemul conţine doar un singur element de întârziere, care este, de fapt, o celulă de memorie. Ieşirea ][nυ a elementului de întârziere reprezintă valoarea prezentă a memoriei, având în vedere că intrarea ]1[ +nυ a elementului de întârziere semnifică valoarea următoare ce trebuie memorată. De fapt, această celulă de memorare include toată memoria necesară pentru calculul ieşirii curente

].[ny Pentru a verifica acest lucru, se scriu ecuaţiile pentru implementarea indicată în figura 4.26a. Acestea sunt

][][21]1[ nxnn +=+ υυ (4.112)

][2]1[][ nnny υυ ++= (4.113)

Figura 4.26. a) Implementarea în forma directă II, b) o implementare pe baza variabilelor

de stare a sistemului descris de relaţia (4.111)

Page 349: Prelucrarea digitala a semnalelor

223

Înlocuind (4.112) în (4.113), se obţine

][][25][ nxnny += υ

care este o ecuaţie care descrie un sistem fără memorie. Din altă perspectivă, (4.112) reprezintă un mecanism pentru reactualizarea conţinutului celulei de memorie, utilizând conţinutul curent al acesteia şi valoarea curentă a intrării. Perechea de ecuaţii

][][21]1[ nxnn +=+ υυ (4.114)

][][25][ nxnny += υ (4.115)

furnizează o descriere completă a sistemului. Variabila ][nυ , care include toată informaţia anterioară este denumită variabilă de stare şi reprezintă chiar starea sistemului. Dacă există doar o singură variabilă de stare, ecuaţia de stare este unidimensională iar valoarea sa la orice moment de timp este reprezentată ca un punct într-un spaţiu unidimensional. Se mai observă, de asemenea, că ecuaţiile (4.114) şi (4.115) împart sistemul în două părţi componente: un subsistem dinamic (cu memorie) şi un subsistem static (fără memorie), care furnizează o descriere internă a acestui sistem. Această descriere produce o implementare alternativă echivalentă a sistemului, aşa cum este indicată în figura 4.26b.

Descrierea internă se poate aplica şi sistemelor cauzale ce sunt variante în timp şi/sau neliniare. Pentru a ilustra acest lucru, se consideră un sistem care calculează dispersia unui semnal. Sistemul poate fi descris de ecuaţia

[ ]∑−

=

−=1

0

2][][1][n

knkx

nny µ (4.116)

unde

∑−

=

=1

0][1][

n

kkx

nnµ (4.117)

este valoarea sa medie. Dezvoltând pătratul din relaţia (4.116) şi utilizând relaţia (4.117), se obţine

∑−

=

−=1

0

22 ][][1][n

knkx

nny µ (4.118)

Pentru a obţine o descriere internă, se definesc următoarele variabile:

Page 350: Prelucrarea digitala a semnalelor

224

∑−

=

=1

01 ][][

n

kkxnυ (4.119)

∑−

=

=1

0

22 ][][

n

kkxnυ (4.120)

Apoi, combinând aceste relaţii cu (4.118) şi (4.117), se obţine

][1][1][ 2212 n

nn

nny υυ +−= (4.121)

care descrie un sistem fără memorie, ce exprimă ieşirea în funcţie de variabilele de stare curente. Reactualizarea acestor variabile poate fi făcută cu ajutorul ecuaţiilor

][][]1[ 11 nxnn +=+ υυ (4.122) ][][]1[ 2

22 nxnn +=+ υυ (4.123) Ecuaţia (4.123) este neliniară, iar (4.121) este neliniară şi variantă în timp. În general, descrierea internă a sistemelor cauzale conţine două seturi de ecuaţii matematice:

• un set de ecuaţii, denumit ecuaţii de stare, ce exprimă variabilele de stare de la momentul n+1 în funcţie de variabilele de stare şi intrarea la momentul n;

• o ecuaţie, denumită ecuaţie de ieşire, ce exprimă ieşirea la momentul n în funcţie de variabilele de stare şi intrarea la acelaşi moment de timp.

În particular, pentru un sistem cauzal cu N variabile de stare ],[],..,[],[ 21 nnn Nυυυ descrierea internă poate fi exprimată prin

următoarele două seturi de ecuaţii: Ecuaţiile de stare

[ ]][],[],...,[],[]1[ 21 nxnnnfn Nii υυυυ =+ , i=1,2,…,N (4.124) Ecuaţia de ieşire

[ ]][],[],....,[],[][ 21 nxnnngny Nυυυ= (4.125) Cele N variabile de stare ][niυ , i = 1,2,3,…,N, pot fi considerate componentele unui vector de dimensiune N, iar vârful acestui vector la un moment n poate fi văzut ca un punct în spaţiul N-dimensional denumit spaţiu de stare. Locul geometric al vârfului vectorului la diferite momente de timp determină o traiectorie a vectorului variabilelor de stare. Figura 4.27 ilustrează o traiectorie pentru starea unui sistem de ordinul al doilea, într-un spaţiu bidimensional. În general, ecuaţiile de stare descriu partea

Page 351: Prelucrarea digitala a semnalelor

225

dinamică a sistemului, în timp ce ecuaţia de ieşire descrie partea statică (fără memorie) a acestuia. Numărul N al variabilelor de stare exprimă ordinul sistemului. Cu toate că descrierea internă poate fi uşor generalizată la sisteme cu intrări şi ieşiri multiple (Multiple Input Multiple Output, MIMO), abordarea acestei probleme se va limita doar la sisteme cu o singură intrare şi o singură ieşire (Single Input Single Output, SISO).

Figura 4.27. Traiectoria stării unui sistem de ordinul al doilea

4.4.2. Descrierea în spaţiul stărilor a sistemelor caracterizate de ecuaţii cu diferenţe

În această secţiune se vor obţine ecuaţiile de stare pentru sistemele discrete descrise de ecuaţii liniare cu diferenţe cu coeficienţi constanţi, cu o intrare şi o ieşire. Pentru aceasta se consideră un sistem de ordinul al treilea, rezultatul fiind uşor de generalizat pentru sistemele de orice ordin N arbitrar, finit. Fie un sistem discret, liniar, invariant în timp, cauzal, caracterizat de ecuaţia cu diferenţe

∑ ∑= =

−+−−=3

1

3

0][][][

k kkk knxbknyany (4.126)

Implementarea sistemului în formă directă II este indicată în figura 4.28a. Ca variabile de stare, se vor utiliza ieşirile celulelor de memorie ale sistemului. Ieşirea elementului de întârziere reprezintă valoarea prezentă memorată în celulă, iar intrarea reprezintă valoarea

Page 352: Prelucrarea digitala a semnalelor

226

următoare ce urmează a fi memorată. În consecinţă, cu ajutorul figurii 4.28a, se poate scrie

][][][][]1[][]1[][]1[

3122133

32

21

nxnananannnnn

+−−−=+=+=+

υυυυυυυυ

(4.127)

Se observă că expresiile variabilelor de stare pentru sistemul de ordinul al treilea descris de ecuaţia (4.126), implică trei ecuaţii cu diferenţe de ordinul întâi date de (4.127). În general, un sistem de ordin N poate fi descris de N ecuaţii cu diferenţe de ordinul întâi.

Figura 4.28 Realizarea (a) în forma directă II şi (b) în spaţiul stărilor a sistemului descris

de relaţia (4.126)

Ecuaţia de ieşire, ce exprimă pe ][ny în funcţie de variabilele de stare şi de valoarea prezentă a intrării, ][nx , poate fi, de asemenea, obţinută din figura 4.28a.

][][][]1[][ 31221330 nbnbnbnbny υυυυ ++++= (4.128) Înlocuind ]1[3 +nυ din (4.127) în (4.128), ecuaţia de ieşire devine

][][)(][)(][)(][

03101

22021303

nxbnabbnabbnabbny

+−++−+−=

υυυ

(4.128’)

Pe baza relaţiilor (4.127) şi (4.128’) rezultă implementarea sistemului în spaţiul stărilor din figura 4.28b. O descriere alternativă în spaţiul stărilor pentru sistemul caracterizat de relaţia (4.126) poate fi obţinută folosind structura în formă directă II transpusă, desenată în figura 4.29a.

Page 353: Prelucrarea digitala a semnalelor

227

Dacă se utilizează variabilele de stare indicate din această figură, se obţine

][][][]1[][][][]1[

][][]1[

1123

2212

331

nyanxbnnnyanxbnn

nyanxbn

−+=+−+=+

−=+

υυυυ

υ (4.129)

Ecuaţia de ieşire este ][][][ 30 nnxbny υ+= (4.130)

Figura 4.29 Realizarea (a) în forma directă II transpusă şi (b) în spaţiul stărilor a sistemului descris de relaţia (4.126)

Eliminând ][ny dat de (4.130) din (4.129), rezultă următorul sistem de ecuaţii:

][)(][][]1[][)(][][]1[

][)(][]1[

1013123

2023212

303331

nxabbnannnxabbnann

nxabbnan

−+−=+−+−=+

−+−=+

υυυυυυ

υυ (4.131)

Pe baza relaţiilor (4.130) şi (4.131) rezultă implementarea în spaţiul starilor din figura 4.29b. Dacă ordinul sistemului creşte, atunci şi descrierea în spaţiul stărilor devine mai complexă. Cu toate acestea, introducând notaţii matriceale, se pot exprima ecuaţiile de stare într-o formă mult mai compactă, care simplifică manipularea lor şi permite utilizarea algebrei matriceale pentru analiza în spaţiul stărilor. Matriceal, relaţiile (4.127) şi (4.128’) se scriu sub forma

Page 354: Prelucrarea digitala a semnalelor

228

][100

][][][

100010

]1[]1[]1[

3

2

1

1233

2

1

nxnnn

aaannn

+

−−−=

+++

υυυ

υυυ

(4.132)

( )( )( )[ ] ][][][][

][ 0

3

2

1

101202303 nxbnnn

abbabbabbny +

−−−=

υυυ

(4.133)

Aceste ecuaţii sunt cunoscute sub denumirea de implementarea în spaţiul stărilor de tipul 1. Similar, relaţiile (4.131) şi (4.130) pot fi exprimate în formă matriceală, astfel:

( )( )( )

][][][][

100100

]1[]1[]1[

101

202

303

3

2

1

1

2

3

3

2

1

nxabbabbabb

nnn

aaa

nnn

−−−

+

−−−

=

+++

υυυ

υυυ

(4.134)

[ ] ][][][][

100][ 0

3

2

1

nxbnnn

ny +

⋅=υυυ

(4.135)

Această descriere se numeşte implementare în spaţiul stărilor de tipul 2. Cele două seturi de ecuaţii (4.132), (4.133) şi (4.134), (4.135) descriu complet sistemul, împărţindu-l, aşa cum s-a precizat, în două părţi componente, una cu memorie şi una fără. Generalizând exemplul anterior, se poate observa uşor faptul că sistemul descris de ecuaţia

∑ ∑= =

−+−−=N

k

N

kkk knxbknyany

1 0][][][ (4.136)

poate fi descris în formă matriceală, de o realizare în spaţiul stărilor, liniară, invariantă în timp. Pentru un sistem cu N variabile de stare ][],....,[],[ 21 nnn Nυυυ , se defineşte starea v[n] sub forma unui vector coloană N-dimensional

v[n] =

][

][][

2

1

n

nn

υυ

(4.137)

Page 355: Prelucrarea digitala a semnalelor

229

De asemenea, fie F o matrice NN × , q şi g vectori coloană N-dimensionali, definiţi ca

F =

NNNN

N

N

fff

ffffff

21

22221

11211

q =

Nq

qq

2

1

g =

Ng

gg

2

1

(4.138)

unde kkij gqf ,, sunt constante şi, fie d, o constantă scalară. Cu aceste notaţii ecuaţia de stare şi cea de ieşire pot fi scrise, după cum urmează: Ecuaţia de stare

v[n+1] = Fv[n] + qx[n] (4.139) Ecuaţia de ieşire

y[n] = gtv[n] + dx[n] (4.140) Orice sistem discret ale cărui intrare ][nx , ieşire ][ny şi stare v[n], pentru toţi 0nn ≥ , sunt relaţionate cu ecuaţiile de mai sus, este liniar şi invariant în timp. Dacă cel puţin una din mărimile F, q, g şi d depinde de timp, sistemul este variant în timp. Implementarea de tipul 1 liniară şi invariantă în timp se obţine, alegând

F =

−−⋅⋅⋅−−⋅⋅⋅

⋅⋅⋅⋅⋅

− 121

1000

001000010

aaaa NN

q =

10

00

g =

−−

−−

101

101

0

abb

abbabb

NN

NN

d = 0b (4.141)

iar implementarea de tipul 2 se obţine cu alegerea:

Page 356: Prelucrarea digitala a semnalelor

230

F =

−⋅−

−−

1

2

1

100001000

001000

aa

aa

N

N

q =

−−

−−

−−

101

202

101

0

abbabb

abbabb

NN

NN

g =

10

00

d = 0b (4.142)

Relaţiile (4.139)-(4.140) descriu un model în spaţiul stărilor liniar şi invariant în timp, care poate fi reprezentat printr-o diagramă bloc matriceală ca în figura 4.30. În această figură liniile duble reprezintă mărimi vectoriale iar blocurile, coeficienţi ai mărimilor vectoriale sau matriceale.

Figura 4.30. Descrierea generală în spaţiul stărilor a unui sistem liniar, invariant în timp Există mai multe variante de selectare a variabilelor de stare şi de structuri în spaţiul stărilor care sunt echivalente pentru acelaşi sistem. Motivul pentru care se studiază o varietate de modele şi, prin urmare, de structuri, este de a le găsi pe acelea care sunt cel mai puţin senzitive la aritmetica lungimii finite a cuvintelor sau necesită o implementare mai puţin complexă, problemă tratată în Capitolul 5.

Page 357: Prelucrarea digitala a semnalelor

231

Exemplul 4.5. Să se determine implementarea diagramei bloc a sistemului descris de următorul model în spaţiul stărilor:

[ ] ][

][][

13][

][5,05,0

][][

35,045,055,035,1

]1[]1[

2

1

2

1

2

1

nxnn

ny

nxnn

nn

+

=

+

=

++

υυ

υυ

υυ

Soluţie. Scriind ecuaţia de mai sus explicit, rezultă

][][][3][

][5,0][35,0][45,0]1[][5,0][55,0][35,1]1[

21

212

211

nxnnnynxnnn

nxnnn

++=++−=+

++=+

υυυυυ

υυυ

Ecuaţiile conduc la diagrama bloc din figura 4.31.

Figura 4.31. Implementarea sistemului din exemplul 4.5

Exemplul 4.6. Să se determine forma directă II, forma directă II transpusă,

realizările în spaţiul stărilor de tipul 1 şi 2 pentru sistemul descris de ecuaţia cu diferenţe ]1[][]2[2]1[3][ −++−−−= nxnxnynyny

Soluţie. Comparând această ecuaţie cu (4.136), se obţin următorii parametrii

N = 2 1a = -3 22 =a 10 =b 11 =b 02 =b

Page 358: Prelucrarea digitala a semnalelor

232

Modificând corespunzător figurile 4.28 şi 4.29 se obţin implementările în forma directă II şi forma directă II transpusă din figura 4.32a şi b. Pentru a obţine structurile interne, mai întâi se observă că din (4.141) rezultă pentru structura de tip 1

F =

− 32

10 q =

10

g =

−42

d = 1

Apoi, din (4.139) şi (4.140) rezultă

][][4][2][

][][3][2]1[][]1[

21

212

21

nxnnnynxnnn

nn

++−=++−=+

=+

υυυυυ

υυ

Aceste ecuaţii conduc la implementarea din figura 4.34c.

Figura 4.32. Realizarea (a) în forma directă II, (b) în forma directă II transpusă, (c) în

spaţiul stărilor de tipul 1, (d) în spaţiul stărilor de tipul 2, pentru sistemul din exemplul 4.6.

Similar, pentru structura de tipul 2, rezultă

F =

−3120

q =

−42

g =

10

d = 1

sau

Page 359: Prelucrarea digitala a semnalelor

233

][][][

][4][3][]1[][2][2]1[

2

212

21

nxnnynxnnn

nxnn

+=++=+

−−=+

υυυυ

υυ

care conduc la implementarea din figura 2.32d. Se observă că toate implementările sunt diferite.

4.4.3. Soluţia ecuaţiilor cu diferenţe în spaţiul stărilor

Există diverse metode pentru rezolvarea ecuaţiilor cu diferenţe în spaţiul stărilor. În cele ce urmează se va obţine o soluţie recursivă care face uz de faptul că ecuaţiile în spaţiul stărilor reprezintă un sistem de ecuaţii cu diferenţe de ordinul întâi. Pentru un model intern uni-dimensional ecuaţiile de stare sunt

][][]1[ nqxnfn +=+ υυ (4.143) ][][][ ndxngny += υ (4.144)

unde f, q, g şi d sunt coeficienţii scalari, ficşi ai sistemului. Problema este de a determina ieşirea y[n] pentru 0nn ≥ , cunoscându-se intrarea ][nx ,

0nn ≥ şi starea iniţială ][ 0nυ . Rezolvând ecuaţia (4.143) recursiv, rezultă:

]1[]2[....]1[][][][

....]2[]1[][][

]2[]2[]3[]1[][][]1[]1[]2[

][][]1[

00

02

01

00

0002

03

000

0002

000

000

−++−++++++=+

+++++=

=+++=++++=+++=+

+=+

−−

mnqxmnfqxnqxfnqxfnfmn

nqxnfqxnqxfnfnqxnfn

nqxnfqxnfnqxnfnnqxnfn

mmmυυ

υ

υυυυυ

υυ

Cu notaţia mnn += 0 , rezultă 0nnm −= , iar ecuaţia de mai sus devine

]1[]2[

...]1[][][][ 02

01

0000

−+−+++++= −−−−−

nqxnfqxnqxfnqxfnfn nnnnnn υυ

Astfel, pentru orice n > 0n , se obţine

∑−

=

−−− +=1

10

0

0 ][][][n

nk

knnn kqxfnfn υυ (4.145)

Page 360: Prelucrarea digitala a semnalelor

234

Ecuaţia de ieşire se obţine înlocuind (4.145) în (4.144). Aceasta conduce la

∑−

=

−−− ++=1

10

0

0 ][][][][n

nk

knnn ndxkqxgfngfny υ (4.146)

care reprezintă răspunsul total al sistemului. Dacă se alege starea iniţială ,0][ 0 =nυ din (4.146) se obţine răspunsul de stare zero al sistemului [63]

∑−

=

−− +=1

1

0

][][][n

nk

knzs ndxkqxgfny (4.147)

Dacă, însă, se impune 0][ =nx în (4.146) pentru 0nn ≥ , se obţine răspunsul de intrare zero [63]

][][ 00 ngfny nn

zi υ−= (4.148) Răspunsul total dat de (4.146) este suma răspunsurilor date de (4.147) şi (4.148)

][][][ nynyny zszi += (4.149) Aceste rezultate pot fi uşor generalizate pentru modelul N-dimensional [48]

v[n + 1] = Fv[n] + qx[n] (4.150) y[n] = gtv[n] + dx[n] (4.151)

Într-adevăr, cunoscându-se v[n0], pentru n > 0n se poate scrie v[n0 + 1] = Fv[n0] + qx[n] v[n0 + 2] = Fv[n0 + 1] + qx[n0 + 1] =F2v[n0] + Fqx[n0] + qx[n0 + 1] Dacă se continuă ca în cazul unidimensional, se obţine pentru n>n0

v[n] = F 0nn− v[n0] + ∑−

=

1

0

n

nkFn-1-kqx[k] (4.152)

Matricea F0 este definită ca matricea unitate de dimensiune NN × . Matricea Fi-j este adesea notată Φ(i-j), adică

Φ(i-j) = Fi-j (4.153) pentru orice ji ≥ întregi. Această matrice este numită matricea de tranziţie a sistemului. Ieşirea sistemului se obţine substituind (4.152) în (4.151) şi ţinând cont de (4.153). Rezultatul acestei substituţii este

Page 361: Prelucrarea digitala a semnalelor

235

y[n] = gtF 0nn− v[n0] + ∑−

=

1

0

n

nkgtFn-1-kqx[k] + dx[n]

= gtΦ[n-n0]v[n0] + ∑−

=

1

0

n

nkgtΦ[n-1-k]qx[k] + dx[n] (4.154)

Cu acest rezultat general, se poate determina ieşirea pentru două cazuri particulare. Răspunsul de intrare zero al sistemului este

yzi[n] = gtF 0nn− v[n0] = gtΦ[n-n0]v[n0] (4.155) Răspunsul de stare zero al sistemului este

yzs[n]=∑−

=

1

0

n

nkgtΦ[n-1-k]qx[k]+dx[n] (4.156)

Exemplul 4.7.

Să se calculeze răspunsul de stare zero pentru sistemul descris de

v[n + 1] =

− 32

10v[n] +

10

x[n]

y[n] = [-2 4] v[n] + x[n] dacă la intrare se aplică semnalul treaptă unitate.

Soluţie. Semnalul de intrare este

<≥

==0,00,1

][][nn

nunx

Deoarece se doreşte obţinerea răspunsului de stare zero, se va impune vectorul de stare iniţial egal cu zero

=

00

]0[v

Atunci

[ ] 1]0[00

42]0[ =+

−= xy

=

+

=10

]0[10

00

3210

]1[ xv

[ ] 5]1[10

42]1[ =+

−= xy

=

+

=41

]1[10

10

3210

]2[ xv

Page 362: Prelucrarea digitala a semnalelor

236

[ ] 15]2[41

42]2[ =+

−= xy

Continuând această procedură iterativă, se obţine y[3] = 47, y[4] = 113 şi aşa mai departe.

Exemplul 4.8.

Să se calculeze răspunsul sistemului FIR ]2[]1[2][][ −+−+= nxnxnxny la un semnal oarecare .0],[ ≥nnx Soluţie. Descrierea internă a sistemului este

][][]21[][

][10

][0010

]1[

nxnny

nxnn

+=

+

=+

v

vv

unde ][1 nυ = x[n-2] şi ].1[][2 −= nxnυ Din ecuaţia de stare rezultă

]0[10

]0[0010

]1[ x

+

= vv

]1[10

]0[01

]0[0000

]1[10

]1[0010

]2[ xxx

+

+

=

+

= vvv

Se obţine 0=nF pentru 2≥n . Prin urmare starea iniţială ]0[v nu mai afectează starea sistemului după doi paşi. Acest lucru este evident deoarece sistemul FIR are o memorie finită egală cu 2. În consecinţă, influenţa stării iniţiale asupra stărilor viitoare şi asupra ieşirilor dispare după doi paşi. Pentru 00 =n , ecuaţia (4.154) conduce la ieşirea

][]1[]2[.....]0[]0[][ 1 ndxnxnxxny ttntnt +−+−+++= − qgFqgqFgvFg Deoarece 0=nF pentru ,2≥n ieşirea devine 2][]1[]2[][ ≥+−+−= nndxnxnxny tt qgFqg Substituind valorile pentru g, F, q şi d, ecuaţia de mai sus se reduce la 2]2[]1[2][][ ≥−+−+= nnxnxnxny care este descrierea intrare-ieşire a sistemului FIR. Ca o generalizare a rezultatelor din exemplul de mai sus, pentru un sistem FIR de ordinul N, 0=nF pentru Nn ≥ şi, în consecinţă, starea iniţială a sistemului afectează doar primele N ieşiri.

Page 363: Prelucrarea digitala a semnalelor

237

Exemplul 4.9. Să se calculeze răspunsul la treapta unitate a sistemului

][11

][v

310

021

]1[v nxnn

+

=+

[ ] ][2][11][ nxnny += v Soluţie. Cele două componente din ecuaţia de stare sunt

][][21]1[ 11 nxnn +=+ υυ , ][][

31]1[ 22 nxnn +=+ υυ

Acesta este un sistem de două ecuaţii independente care pot fi uşor rezolvate recursiv, ca în cazul uni-dimensional. Într-adevăr, din (4.145) pentru n > 0, rezultă

∑−

=

−−

+

=

1

0

1

11 ][21]0[

21][

n

k

knn

kxn υυ

][31]0[

31][

1

0

1

22 kxnn

k

knn

∑−

=

−−

+

= υυ

Ieşirea sistemului este

∑∑−

=

−−−

=

−−

>+

+

+

+

=

=++=1

0

11

0

1

21

21

0231

21]0[

31]0[

21

][2][][][n

k

knn

k

knnn

n

nxnnny

υυ

υυ

care se mai poate scrie sub forma

02)31(23)21(2]0[

31]0[

21][ 21 >+−+−+

+

= −− nny nn

nn

υυ

Se observă că soluţia a putut fi obţinută în formă compactă, deoarece matricea F este diagonală. Dacă matricea F este diagonală, sistemul N – dimensional poate fi descris în spaţiul stărilor de N ecuaţii unidimensionale independente. Astfel, ecuaţiile de stare devin ecuaţii cu diferenţe de ordinul întâi, uşor de rezolvat.

4.4.4. Relaţii de legătură între descrierea intrare-ieşire şi descrierea în spaţiul stărilor a SDLIT

Din prezentarea anterioară s-a văzut că nu există numai o singură posibilitate în ceea ce priveşte alegerea variabilelor de stare ale unui

Page 364: Prelucrarea digitala a semnalelor

238

sistem cauzal. Valori diferite ale vectorului de stare conduc la structuri diferite pentru implementarea aceloraşi sisteme. În general, relaţiile intrare ieşire nu descriu în mod unic structura internă a sistemului. Pentru a demonstra această afirmaţie, se consideră un sistem SISO (o singură intrare şi o singură ieşire), N-dimensional, având reprezentarea în spaţiul stărilor

][][]1[ nxnn qFvv +=+ (4.157) ][][][ ndxnny t += vg (4.158)

Fie P orice matrice NN × a cărei inversă, P-1, există. Se defineşte un nou vector de stare ]n[v

]n[]n[ Pvv = (4.159) de unde

][][ nn vPv 1−= (4.160) Dacă relaţia (4.157) este multiplicată la dreapta cu P, se obţine ][][]1[ nxnn PqPFvPv +=+ Utilizând (4.159) şi (4.160), ecuaţia de stare de mai sus devine

]n[x)(]n[)(]1n[ˆ 1 PqvPFPv +=+ − (4.161) Similar, cu ajutorul relaţiei (4.160), ecuaţia de ieşire (4.158) devine

][][ˆ][ ndxnny += − v)P(g 1t (4.162) Se definesc parametrii matriceali de sistem F , q , g , sub forma:

1

1

=

==

PggPqqPFPF

tt

(4.163)

Cu aceste definiţii, ecuaţiile de stare pot fi exprimate ca ][][]1[ nxnn qvFv +=+ (4.164)

][][][ ndxnny t += vg (4.165) Prin compararea relaţiilor (4.157) şi (4.158) cu (4.164) şi

(4.165), se observă că printr-o simplă transformare liniară a variabilelor de stare, se generează un nou set de ecuaţii de stare şi o ecuaţie de ieşire, în care intrarea x[n] şi ieşirea y[n] sunt neschimbate. Deoarece există un număr infinit de alegeri ale matricei de transformare P, există un număr infinit de ecuaţii de stare şi structuri pentru un sistem. Unele dintre aceste structuri sunt diferite, în timp ce altele sunt apropiate ca structură, diferind doar prin factorii de scalare.

Page 365: Prelucrarea digitala a semnalelor

239

Unei implementări în spaţiul stărilor a unui sistem i se asociază conceptul de implementare minimală. O implementare internă se spune că este minimală dacă dimensiunea spaţiului stărilor (a numărului variabilelor de stare) este cea mai mică din toate realizările posibile. Deoarece fiecare variabilă de stare reprezintă o cantitate ce trebuie stocată şi reactualizată la fiecare moment n, rezultă că o implementare minimală este aceea care necesită cel mai mic număr de celule de întârziere. Se reaminteşte faptul că implementarea în formă directă II necesită cel mai mic număr de celule de memorie şi, în consecinţă, o realizare în spaţiul stărilor a acesteia are ca rezultat o implementare minimală. Similar, un sistem FIR realizat ca o structură în formă directă conduce la o implementare minimală internă, dacă valorile registrelor de stocare sunt definite ca variabile de stare. Implementarea în forma directă I a unui sistem IIR nu conduce la o implementare minimală.

În continuare se va determina răspunsul la impuls al sistemului descris în spaţiul stărilor. Prin definiţie, răspunsul la impuls h[n] al unui sistem este răspunsul de stare zero al sistemului la excitaţia

][][ nnx δ= [63]. Prin urmare, acesta poate fi obţinut din ecuaţia (4.154) dacă se

impune 00 =n (momentul când se aplică intrarea), v[0] = 0 şi ][][ nnx δ= . Astfel, răspunsul la impuls al sistemului descris de (4.157) şi (4.158) este dat de relaţia

]n[d]1n[u]1n[]n[d]1n[u]n[h t1nt δδ +−−=+−= − qΦgqFg (4.166) Pentru o descriere internă dată este uşor de determinat

răspunsul la impuls din relaţia (4.166). Invers, însă, nu este uşor, deoarece există un număr infinit de implementări interne pentru o aceeaşi descriere intrare-ieşire.

Exemplul 4.10.

Secvenţa Fibonacci este dată de

,...13,8,5,3,2,1,1

Să se determine al şaptesprezecelea termen fără a calcula termenii anteriori. Soluţie. Secvenţa Fibonacci poate fi scrisă ca fiind răspunsul la impuls al sistemului descris de ecuaţia cu diferenţe ][]2[]1[][ nxnynyny +−+−=

Page 366: Prelucrarea digitala a semnalelor

240

Într-adevăr impunând ,0]2[]1[ =−=− yy şi ][][ nnx δ= , se obţine 5]4[,3]3[,2]2[,1]1[,1]0[ ===== hhhhh şi aşa mai departe.

Realizarea în spaţiul stărilor de tipul 1este descrisă de

=

1110

F

=

10

q

=

11

g d = 1

Din (4.166), rezultă qFg 16]17[ th = Calculând F2, F4, F8 şi F16 , se obţine

=

159798798761016F

de unde rezultă 2584]17[ =h .

4.4.4.1. Sistemul transpus Transpusa matricei de sistem F este se notează cu Ft şi se obţine

prin schimbarea coloanelor sale în linii. Ţinând cont de relaţiile (4.157)-(4.158), se defineşte sistemul transpus, ca fiind caracterizat de relaţiile

][][']1[' nxnn t gvFv +=+ (4.167) ][]['][' ndxnny t += vq (4.168)

Conform relaţiei (4.166), răspunsul la impuls al acestui sistem este dat de

][]1[)(][' 1 ndnunh ntt δ+−= − gFq (4.169) Din algebra matriceală se ştie că .)()( 11 tnnt −− = FF Prin urmare

][]1[)(][' 1 ndnunh tnt δ+−= − gFq (4.169’) Deoarece termenul gFq tnt )( 1− este scalar, el este egal cu transpusul său, adică [ ] qFggq 11 )()( −− = nttttn

Ft

ceea ce conduce la identitatea relaţiilor (4.166) şi (4.169’) şi, deci, ].[][' nhnh = Astfel un sistem SISO şi transpusul său au acelaşi răspuns la

impuls şi, prin urmare, aceeaşi relaţie de legătură intrare-ieşire. Realizările în spaţiul stărilor de tipul 1 şi tipul 2, descrise de relaţiile (4.132)÷(4.135), sunt structuri transpuse care provin de la aceeaşi relaţie de legătură intrare-ieşire (4.126).

Page 367: Prelucrarea digitala a semnalelor

241

Exemplul 4.11.

Să se deseneze diagrama bloc pentru sistemul transpus din Exemplul 4.5 cu realizarea din figura 4.31. Soluţie. Inversând direcţia semnalului în toate ramurile şi înlocuind nodurile de branşare cu noduri de sumare, şi invers, în diagrama bloc din figura 4.31, se obţine diagrama bloc din figura 4.33a. Sistemul transpus este caracterizat de ecuaţiile

[ ] ][

][']['

5,05,0][

][13

][']['

35,055,045,035,1

]1[']1['

2

1

2

1

2

1

nxnvnv

ny

nxnvnv

nvnv

+

=

+

−=

++

care conduc direct la diagrama bloc reprezentată în figura 4.33b.

Figura 4. 33. (a) Sistemul transpus al celui din figura 4.31, (b) Realizarea sistemului din

exemplul 4.11.

S-a folosit structura transpusă deoarece furnizează o metodă simplă de generare a unei noi structuri. Totuşi, câteodată această nouă structură poate diferi numai printr-un factor de multiplicare sau poate fi identică cu cea originală.

4.4.4.2. Sistemul diagonal

O soluţie compactă a ecuaţiilor în spaţiul stărilor se obţine, dacă matricea de sistem F este diagonală. Aceasta implică găsirea unei matrice P, astfel încât 1−= PFPF să fie diagonală. Diagonalizarea matricei F poate fi realizată mai întâi determinând valorile proprii şi vectorii proprii ai matricei.

Page 368: Prelucrarea digitala a semnalelor

242

Fie λ o valoare proprie a lui F. Un vector nenul u este un vector propriu asociat matricei F, dacă

uFu λ= (4.170) Pentru a determina valorile proprii ale lui F, se observă că

0)( =− uIF λ (4.171) Ecuaţia are o soluţie nenulă (netrivială) u, dacă matricea IF λ− este singulară ( )( IF λ− neinversabilă), şi anume, dacă

0)det( =− IF λ (4.172) Determinantul din relaţia (4.172), conduce la polinomul caracteristic al matricei F. Pentru o matrice F de ordin NN × , polinomul caracteristic este de grad N şi, prin urmare, are N rădăcini notate cu

,iλ i = 1, 2, ….N. Rădăcinile pot fi distincte sau nu. În orice caz, pentru fiecare rădăcină iλ , se poate determina un vector ui, numit vectorul propriu corespunzător valorilor proprii iλ , din ecuaţia iii uFu λ= Aceşti vectori proprii sunt ortogonali, adică 0=j

tiuu , pentru .ji ≠

Dacă se formează o matrice U ale cărei coloane sunt vectorii proprii iu ,

↓↓↓

↑↑↑= N21 uuuU ,

atunci matricea FUUF 1−= este diagonală. Se observă faptul că valorile proprii ale matricei F sunt identice cu rădăcinile polinomului caracteristic. De exemplu, sistemul care generează secvenţa Fibonacci este caracterizat de ecuaţia cu diferenţe omogenă

0]2[]1[][ =−−−− nynyny (4.173) Soluţia ecuaţiei omogene are forma n

h ny λ=][ Substituţia acestei soluţii în (4.173) conduce la polinomul caracteristic 012 =−− λλ , care este exact acelaşi polinom caracteristic obţinut din determinantul matricei ).( IF λ− Deoarece implementarea sistemului cu ajutorul variabilelor de stare nu este unică, nici matricea F nu este unică. Totuşi, valorile proprii

Page 369: Prelucrarea digitala a semnalelor

243

ale sistemului sunt unice, astfel că ele sunt invariante la orice transformare liniară, nesingulară, a matricei F. În consecinţă, polinomul caracteristic corespunzător matricei F, poate fi determinat fie evaluând determinantul matricei )( IF λ− , fie din ecuaţia cu diferenţe ce caracterizează sistemul. În concluzie, descrierea internă realizează o caracterizare alternativă a sistemului, care este echivalentă cu o descriere intrare-ieşire. Un avantaj al descrierii sistemului în spaţiul stărilor este că oferă un plus de informaţii în legătură cu variabilele interne ale sistemului, informaţii care nu se obţin uşor din descrierea intrare-ieşire. Formularea variabilelor de stare pentru un sistem liniar invariant în timp permite reprezentarea sistemul printr-un sistem de ecuaţii cu diferenţe de ordinul întâi, independente. Aceată independenţă poate fi realizată prin intermediul unei transformări care poate fi obţinută prin găsirea valorilor proprii şi vectorilor proprii ai sistemului.

Exemplul 4.12. Să se găsească o formulă explicită pentru secvenţa Fibonacci din

Exemplul 4.10. Soluţie. În Exemplul 4.10 s-a stabilit că secvenţa Fibonacci poate fi considerată ca fiind răspunsul la impuls al sistemului care satisface următoarele ecuaţii în spaţiul stărilor:

[ ] ][][11][

][10

][1110

]1[

nxnny

nxnn

+=

+

=+

v

vv

Aici se doreşte a se determina un sistem echivalent

]n[dx]n[ˆ]n[y

]n[xˆ]n[ˆˆ]1n[ˆt +=

+=+

vgqvFv

astfel încât matricea F să fie diagonală. Conform relaţiei (4.163), două sisteme sunt echivalente dacă 1ˆ −= PFPF Pqq =ˆ 1ˆ −= Pgg tt Fiind dată matricea F, problema este de a determina o matrice P astfel încât 1ˆ −= PFPF să fie o matrice diagonală. Întâi se calculează determinantul din (4.172), de unde rezultă valorile proprii.

Page 370: Prelucrarea digitala a semnalelor

244

0111

1det)det( 2 =−−=

−=− λλ

λλ

λIF

2

511

+=λ

251

2−

Corespunzător acestor valori proprii, din (4.170) rezultă vectorii proprii

=

11

u şi

=

22

u .

Se observă că 01 2121 =+= λλuu t (vectorii proprii sunt ortogonali). Matricea U, ale cărei coloane sunt vectori proprii ai matricei F, este

=

21

11λλ

U

Matricea FUU 1− este diagonală. Într-adevăr, se observă uşor că

== −

2

11

00ˆλ

λFUUF

şi deoarece matricea de transformare este 1−= UP atunci

−−

=111

1

2

12 λλ

λλP

Astfel, matricea diagonală F are forma

=

2

1

00ˆλ

λF , unde

elementele diagonale sunt valorile proprii ai polinomului caracteristic.

Mai mult,

−==

515

1

ˆ Pqq şi

−+=== −

253

253ˆ 1 UgPgg ttt

Răspunsul la impuls al acestui sistem diagonal echivalent este

][]1[2

512

532

512

535

1

][]1[ˆˆˆ][11

1

nnu

ndnunhnn

n-t

δ

δ

+−

−−

+

+=

=+−=−−

qFg

care este formula generală pentru secvenţa Fibonacci.

Page 371: Prelucrarea digitala a semnalelor

245

O expresie alternativă poate fi găsită observând că secvenţa Fibonacci poate fi considerată ca fiind răspunsul de intrare zero al sistemului descris de ecuaţia cu diferenţe

][]2[]1[][ nxnynyny +−+−= cu condiţiile iniţiale y[-1] = 1, y[-2] = -1. Din implementarea în spaţiul stărilor de tip 1 s-a observat că 1]2[]0[1 −=−= yυ şi 1]1[y]0[2 =−=υ . Prin urmare

+

+−−

=

=

253

253

51

]0[]0[

]0[ˆ]0[ˆ

2

1

2

1

υυ

υυ

P

iar răspunsul de intrare zero este

][2

512

515

1]0[vFg][ nunynn

ntzi

−−

+== ,

care este o formă mai cunoscută a secvenţei Fibonacci, în care primul termen al secvenţei este zero. Prin urmare, secvenţa este 0, 1, 1, 2, 3, 5, 8, ... .

4.4.5. Analiza SDLIT în spaţiul stărilor în domeniul Z

Analiza în spaţiul stărilor din paragraful anterior a fost realizată în domeniul timp, dar aceasta poate fi realizată şi în domeniul Z. Fie ecuaţia de stare

][][]1[ nxnn qFvv +=+ (4.174) ce este echivalentă cu un sistem de N ecuaţii cu diferenţe de ordinul întâi

]n[xq]n[f....]n[f]n[f]1n[.........................................................................................

]n[xq]n[f.....]n[f]n[f]1n[]n[xq]n[f.....]n[f]n[f]1n[

NNNN22N11NN

2NN22221212

1NN12121111

++++=+

++++=+++++=+

υυυυ

υυυυυυυυ

(4.175)

Presupunând că starea iniţială a sistemului este zero, transformata Z a acestui sistem de ecuaţii este

Page 372: Prelucrarea digitala a semnalelor

246

)(

)(

)()(

)(

)()(

2

1

2

1

21

22221

11211

2

1

zX

q

qq

zV

zVzV

fff

ffffff

zzV

zzVzzV

NNNNNN

N

N

N

+

=

(4.176)

unde )(zVi este transformata Z a lui ],n[iυ i =1, 2, …,N. Se defineşte vectorul )(zV ca

=

)z(V

)z(V)z(V

)z(

N

2

1

V (4.177)

Relaţia (4.176) poate fi exprimată matriceal, în forma )()()( zXzzz qFVV += (4.178)

de unde rezultă

)()()(

)()()(1 zXzz

zXzzqFIV

qVFI−−=

=− (4.179)

Transformata Z inversă a relaţiei (4.179), conduce la soluţia pentru ecuaţia de stare în domeniul timp. Ecuaţia de ieşire este dată de relaţia

][][][ ndxnny t += vg (4.180) sau, echivalent, în domeniul Z

)z(dX)z()z(Y t += Vg (4.181) Utilizând soluţia dată de relaţia (4.179), se poate elimina vectorul de stare V(z) din relaţia (4.181) şi se obţine

)z(X]d)z([)z(Y 1 +−= − qFIgt , (4.182) care este transformata Z a răspunsului de stare zero al sistemului. Funcţia de sistem se obţine din (4.182), sub forma

d)z()z(X)z(Y)z(H 1 +−== − qFIgt (4.183)

Se observă că ecuaţia de stare dată de (4.179), ecuaţia de ieşire dată de (4.182) şi funcţia de sistem dată de (4.183), au toate în comun factorul 1)( −− FIz , care este o mărime fundamentală ce este legată de transformata Z a matricei de tranziţie a sistemului. Această mărime se poate calcula astfel:

Page 373: Prelucrarea digitala a semnalelor

247

[ ]

dz

z]n[d]1n[uz]n[h)z(H

1n

n1n

0n

n

0n

1nn

+

=

+−==

∑ ∑∞

=

−−

=

−∞

=

−−

qFg

qFg

t

t δ (4.184)

Termenul din paranteză poate fi scris ca

1111

1

22111

)()(

.....)(

−−−−

=

−−−−−

−=−=

=+++=∑FIFI

FFIF

zzz

zzzzn

nn

(4.185)

Dacă se înlocuieşte rezultatul din relaţia (4.185) în (4.184), se obţine expresia lui H(z) ca în relaţia (4.183). Deoarece matricea de tranziţie este dată de nn FΦ =][ transformata Z a lui ][nΦ este

111

0n

221nn )z(z)z(...zzz −−−∞

=

−−− −=−=+++=∑ FIFIFFIF (4.186)

Relaţia (4.186) reprezintă o metodă simplă de determinare matricei de tranziţie cu ajutorul transformatei Z. Se reaminteşte că

)det()()( 1

FIFIFI

−−

=− −

zzadjz (4.187)

unde adj(M) este matricea adjunctă a lui M, iar det(M) determinantul matricei A. Înlocuind (4.187) în (4.183), rezultă

d)zdet()z(adj)z(H +

−−

= qFIFIgt (4.188)

În consecinţă, numitorul A(z) al funcţiei de transfer )z(A)z(B)z(H = ,

ce conţine polii funcţiei de sistem este )zdet()z(A FI −= , (4.189)

dar det(zI-F) este chiar polinomul caracteristic al lui F şi rădăcinile sale, care sunt polii sistemului, sunt valorile proprii ale matricei F. Exemplul 4.13. Să se determine funcţia de sistem H(z), răspunsul la impuls h[n] şi matricea de tranziţie ][nΦ a sistemului care generează secvenţa Fibonacci. Acest sistem este descris în spaţiul stărilor de ecuaţiile

Page 374: Prelucrarea digitala a semnalelor

248

[ ] ][][11][

][10

][1110

]1[

nxnvny

nxnn

+=

+

=+ vv

(4.190)

Soluţie. Pentru a determina H(z) şi h[n], se calculează 1)( −− FIz .

−−=

−−−

=−−

zz

zzzz

z1

111

111

1)( 2

11FI

Prin urmare

[ ] 212

2

2 zz11

1zzz1

10

z111z

111zz

1)z(H −− −−=

−−=+

−−−

=

Inversând H(z), se obţine h[n] sub forma

][2

512

515

1][11

nunhnn

−−

+=

++

Se observă că polii lui H(z) sunt 2/)51(1 +=p şi .2/)51(2 −=p Deoarece ,1p1 > sistemul care generează secvenţa Fibonacci este instabil. Matricea de tranziţie ][nΦ are transformata Z

−−−

=− −2

2

21

11)(

zzzzz

zzzz FI

Prin inversarea expresiei de mai sus, rezultă

=

]n[]n[]n[]n[

]n[2221

1211

φφφφ

Φ

unde

]n[u2

515251

251

5251]n[

nn

11

+−−

−+=φ

]n[u2

512

515

1]n[

]n[u2

512

515

1]n[]n[

1n1n

22

nn

2112

−−

+=

−−

+==

++

φ

φφ

Page 375: Prelucrarea digitala a semnalelor

249

Răspunsul la impuls h[n] poate fi, de asemenea, calculat din (4.166) utilizând matricea de tranziţie ].n[Φ Metoda de analiză indicată în Exemplul 4.13 se aplică mai ales la calcularea răspunsului de stare zero al sistemului, deoarece s-a folosit transformata Z bilaterală. Dacă se doreşte a se determina răspunsul total al sistemului, considerând starea iniţială nenulă, fie aceasta v[n0], trebuie folosită transformata Z unilaterală. Astfel, fiind dată o stare iniţială v[n0] şi o intrare x[n] pentru 0nn ≥ , se poate determina vectorul de stare v[n] pentru 0nn ≥ şi ieşirea y[n] pentru 0nn ≥ , prin intermediul transformatei Z unilaterale. Fără a pierde din generalitate, se presupune 00 =n . Apoi, fiind dată intrarea x[n] pentru 0≥n şi un sistem cauzal, descris de ecuaţia de stare din (4.174), transformata Z unilaterală a ecuaţiei de stare este )()(]0[)( zXzzzz qFVvV +=− ++ sau, echivalent,

)()(]0[)()( 11 zXzzzz qFIvFIV −−+ −+−= (4.191) Se observă că ),()( zXzX =+ deoarece x[n] s-a presupus cauzal. Similar, transformata Z aplicată ecuaţiei de ieşire dată de relaţia (4.180) este

)()()( zdXzzY t += ++ Vg (4.192) Înlocuind )(z+V din relaţia (4.191) în (4.192), se obţine

)(])([]0[)()( 11 zXdzzzzY tt +−+−= −−+ qFIgvFIg (4.193) Primul termen din membrul drept ai relaţiei (4.193), reprezintă răspunsul de intrare zero datorat condiţiilor iniţiale, iar cel de-al doilea, răspunsul de stare zero. Prin inversarea relaţiei (4.193) se obţine răspunsul total al sistemului în domeniul timp.

Exemplul 4.14. Să se determine răspunsul sistemului Fibonacci pentru 0≥n având starea iniţială

−=

11

]0[v

Soluţie. Răspunsul de stare zero al acestui sistem a fost determinat în Exemplul 4.13, astfel încât se va determina doar răspunsul de intrare zero, care va fi sumat cu răspunsul de stare zero.

Page 376: Prelucrarea digitala a semnalelor

250

Transformata Z unilaterală a răspunsului de intrare zero este

[ ]1zz

z11

z111z

111zz

z]0[)z(z)z(Y 221t

zi −−=

−−−

=−= −+ vFIg

Transformata inversă a lui )(zYzi+ este

][2

512

515

1][ nunynn

zi

−−

+=

Page 377: Prelucrarea digitala a semnalelor

53

CAPITOLUL 2

PROIECTAREA FILTRELOR DIGITALE CU RĂSPUNS FINIT LA IMPULS

Un filtru digital sau numeric este un sistem discret care scalează

şi/sau defazează în mod selectiv componentele spectrale ale semnalului discret de intrare, oferind la ieşire un semnal discret optim pentru scopul dorit. Scopul filtrării este de a îmbunătăţi calitatea semnalului (de a reduce sau înlătura zgomotul), de a extrage informaţii sau de a separa două sau mai multe semnale combinate. Filtrarea numerică este preferată celei analogice datorită unuia sau mai multora din următoarele avantaje:

1. Filtrele numerice pot avea caracteristici imposibil de realizat cu filtrele analogice, (de exemplu, fază perfect liniară, în cazul filtrelor FIR).

2. Spre deosebire de filtrele analogice, performanţele celor digitale nu variază cu variabilele mediului, de exemplu, temperatura. Aceasta elimină necesitatea calibrării periodice.

3. Răspunsul în frecvenţă poate fi ajustat automat prin implementarea filtrelor cu procesoare programabile, motiv pentru care se folosesc în filtrarea adaptivă, mai eficient decât cele analogice.

4. Diferite semnale de intrare pot fi filtrate de un singur filtru digital, fără modificarea structurii hard, prin multiplexare.

5. Atât datele filtrate cât şi cele nefiltrate pot fi stocate pentru o prelucrare ulterioară.

6. Folosind avantajele tehnologiei VLSI, aceste filtre pot fi realizate la dimensiuni mici, putere mică, preţ scăzut.

7. În practică, precizia unui filtru analogic este limitată, atenuarea în banda de oprire pentru filtrele active fiind în jur de 60 ÷ 70 dB, la filtrele digitale, aceasta este limitată numai de lungimea cuvântului folosit, ajungându-se în mod curent la 80-100 dB.

Page 378: Prelucrarea digitala a semnalelor

54

8. Performanţele filtrelor digitale sunt repetabile de la procesor la procesor, fără necesitatea reglajelor.

9. Filtrele digitale pot fi folosite la frecvenţe foarte scăzute, unde cele analogice nu sunt utilizabile, acoperind un larg domeniu de frecvenţe prin simpla schimbare a frecvenţei de eşantionare.

Dezavantajele importante ale filtrării digitale faţă de cea analogică sunt:

1. Limitarea vitezei. Lăţimea de bandă a semnalelor pe care le poate prelucra un filtru digital în timp real este mult mai mică în comparaţie cu cele analogice. În funcţionarea în timp real, conversia analog/digitală (A/D) şi digital/analogicã (D/A) introduce restricţii de viteză. Timpul de conversie al CAD şi CDA limitează frecvenţa maximă care poate fi procesată. În plus, viteza operaţiei depinde de viteza procesorului digital şi de numărul de operaţii pe care trebuie să le execute.

2. Efectele lungimii finite în reprezentarea numerelor. Filtrele digitale suferă de zgomotul de cuantizare al CAD şi de cel de rotunjire, apărut în timpul calculelor, care conduc la degradarea performanţelor acestora.

3. Timp mare de proiectare şi dezvoltare. Timpul de proiectare şi implementare hard este mult mai mare în comparaţie cu cel necesar filtrelor analogice. Unele programe de proiectare asistată de calculator pot însă realiza uşor acest lucru.

2.1. Consideraţii generale asupra cauzalităţii şi implicaţiile ei În Capitolul 1 s-au prezentat caracteristicile filtrelor ideale şi s-a arătat că astfel de filtre sunt necauzale şi, prin urmare, nerealizabile fizic. În continuare, problema cauzalităţii şi implicaţiile ei este tratată în detaliu. Se consider\ un FTJ ideal, al c\rui r\spuns `n frecven]\ este

≤<≤

=πωω

ωωω

c

cH,0

,1)( (2.1)

R\spunsul s\u la impuls se determin\ cu transformata Fourier invers\

===== ∫∫

−− 0,sin

0,sin21)(

21][

nn

n

n

nndedeHnh

c

cc

c

cnjnjc

ωπω

πω

πω

ωπ

ωωπ

ω

ω

ωπ

π

ω (2.2)

Page 379: Prelucrarea digitala a semnalelor

55

O reprezentare a lui ][nh pentru 4/πω =c este dat\ `n figura 2.1.

Acest filtru este necauzal [i, deci, nu poate fi realizat practic. ~n plus, ][nh nu este absolut sumabil [i, `n consecin]\, este instabil. Cu cât l\]imea de band\ a filtrului cre[te, r\spunsul la impuls devine mai `ngust, [i invers. Pentru πω =c filtrul devine trece tot (FTT) [i r\spunsul s\u la impuls devine impulsul unitate.

Figura 2.1. R\spunsul la impuls al unui FTJ ideal

Dac\ r\spunsul la impuls este `ntârziat cu 0n e[antioane, r\spunsul `n frecven]\ devine

0)(][ 0njF eHnnh ωω −→←− (2.3)

Se observ\ c\ acest filtru are faza liniar\. Oricum, nici o valoare finit\ a `ntârzierii nu va avea ca rezultat un filtru cauzal. O solu]ie posibil\ de realizare ar fi de a introduce o `ntârziere 0n mare `n ][nh [i a

impune 0pentru,0][ nnnh <= . Evident, filtrul ob]inut nu va fi ideal.

De[i analiza de mai sus s-a referit la un filtru trece jos ideal, concluziile ob]inute sunt valabile [i pentru celelalte tipuri de filtre ideale [30]. Condi]iile necesare [i suficiente pe care trebuie s\ le satisfac\ r\spunsul `n frecven]\ al unui filtru, pentru a fi cauzal sunt statuate de teorema Paley - Wiener, enun]at\ `n continuare. Demonstra]ia acesteia dep\[e[te cadrul prezentului material, motiv pentru care nu este prezentat\ [68].

Dac\ ][nh este de energie finit\ [i 0][ =nh pentru n < 0, atunci

∫−

∞<π

π

ωω dH )(ln (2.4)

Reciproc, dac\ )(ωH este de p\trat integrabil [i dac\ integrala

din (2.4) este finit\, atunci se poate asocia lui )(ωH un r\spuns de faz\

Page 380: Prelucrarea digitala a semnalelor

56

)(ωθ , astfel `ncât filtrul rezultat, cu r\spunsul `n frecven]\ )()( ωθω jeH ,

s\ fie cauzal. O concluzie important\ ce rezult\ din aceast\ teorem\ este c\

modulul )(ωH poate fi zero la unele frecven]e, dar nu poate fi zero pe un

domeniu de frecven]e, deoarece integrala devine infinit\. ~n consecin]\, orice filtru ideal este necauzal. Cauzalitatea implic\ existen]a unei rela]ii `ntre p\r]ile real\,

)(ωRH , [i imaginar\, )(ωIH , ale lui )(ωH . Pentru a ilustra aceast\

dependen]\, se descompune ][nh `n partea sa par\, ][nhe , [i impar\,

][nho , adic\

][][][ nhnhnh oe += (2.5)

unde [ ]][][21][ nhnhnhe −+= (2.6)

[i [ ]][][21][ nhnhnho −−= (2.7)

Dac\ ][nh este cauzal, este posibil\ refacerea acestuia din partea

sa par\, ][nhe , pentru ∞<≤ n0 sau din partea sa impar\, ][nho , pentru

∞<≤ n1 , lucru care se va ar\ta `n continuare. Din (2.6) rezult\ 0],[]0[][][2][ ≥−= nnhnunhnh ee δ (2.8)

[i din (2.7) rezult\ 0],[]0[][][2][ ≥+= nnhnunhnh o δ (2.9)

Deoarece 0][ =nho pentru n=0, nu se poate reface ]0[h din ][nho

[i, deci, ]0[h trebuie cunoscut. Din (2.8) [i (2.9) se observ\ c\ pentru

1≥n , exist\ o rela]ie foarte puternic\ `ntre ][nho [i ][nhe , adic\

][][ nhnh eo = . Dac\ ][nh este absolut sumabil (stabil `n sens MIME [63]), r\spunsul `n frecven]\ exist\ [i

)()()( ωωω IR jHHH += (2.10) ~n plus, dac\ ][nh este real [i cauzal, propriet\]ile de simetrie ale transformatei Fourier implic\ [63]

)(][

)(][

ω

ω

Io

Re

HnhHnh

→←

→← (2.11)

Atât timp cât ][nh este complet determinat de ][nhe , rezult\ c\

)(ωH este complet determinat dac\ se cunoa[te )(ωRH . Similar, )(ωH

Page 381: Prelucrarea digitala a semnalelor

57

este complet determinat dac\ se cunoa[te ]0[si)( hH I ω . Aceasta implic\

o leg\tur\ `ntre )(ωRH [i )(ωIH pentru sisteme cauzale. Cu alte cuvinte, `n cazul sistemelor discrete, liniare, cauzale r\spunsul de amplitudine [i r\spunsul de faz\ ale sistemului sunt dependente. Fiind dat )(ωRH ,

pentru o secven]\ ][nhe real\, par\, absolut sumabil\ se poate determina

)(ωH .

Exemplul 2.1. Fie un sistem liniar invariant `n timp, stabil, cu r\spunsul la

impuls real [i par. S\ se determine )(ωH dac\

1,cos21

cos1)( 2 <+−

−= a

aaaH R ω

ωω .

Solu]ie. Se determin\ `ntâi ][nhe .

ωω ωω

jj

ezezRR

zzzHH=

=

+==

2cos,)()(

1

.

)1)((2/)1(

)(12/)(1)(

2

21

1

azazzaz

azzazzazH R −−

+−=

++−+−

= −

Se observ\ c\ polii sunt p1=a [i p2=1/a. Sistemul fiind stabil, cercul unitate este cuprins `n regiunea de convergen]\, care va fi un inel circular cuprins `ntre p1 [i p2 care con]ine cercul unitate aza /1<< . ~n

consecin]\, ][nhe este o secven]\ bilateral\ `n care polul p1=a determin\

o parte cauzal\, iar polul p2=1/a, o parte necauzal\. Aplicând transformata Z invers\ lui )(zH R , se ob]ine

][21

21][ || nanh n

e δ+= (2.12)

Înlocuind (2.12) în (2.8), rezultă relaţia ][][ nuanh n=

a cărei transformată Fourier este

ωω jaeH −−

=1

1)(

Relaţia între părţile reală şi imaginară ale componentelor transformatei Fourier pentru o secvenţă absolut sumabilă, cauzală şi reală se poate obţine plecând de la relaţia (2.8), căreia i se aplică transformata Fourier.

Page 382: Prelucrarea digitala a semnalelor

58

]0[)()(1

]0[)()(2]0[][][2][][][][2)()()(

eR

eRee

eeIR

hdUH

hUHhnuFnhFnnhnunhFjHHH

−−=

=−∗=−∗=−=+=

∫−

λλωλπ

ωωδωωω

π

π

(2.13)

unde )(ωU este transformata Fourier a treptei unitate ][nu [35].

=−

+=

+=−

+= −−−

−22

2

222

)(1)(1

1)()( ωω

ω

ωωωω ωπδωπδωπδω jj

j

jjjj

ee

e

eeee

U

πωπωωπδω

ωω

ωπδ ≤≤−−+=+

+= ,22

121)(

2sin2

2sin

2cos

)( ctgjj

j (2.14)

Înlocuind (2.14) în (2.13) şi identificând părţile imaginare rezultă relaţia dintre )(ωIH şi )(ωRH

∫−

−−=

π

π

λλωλπ

ω dctgHH RI 2)(

21)( (2.15)

de unde se observă că )(ωIH este unic determinat de )(ωRH prin (2.15). Integrala din (2.15) se numeşte transformata Hilbert directă. În concluzie, cauzalitatea are implicaţii foarte importante în proiectarea filtrelor selective de frecvenţă, şi anume:

1. Răspunsul în frecvenţă )(ωH nu poate fi zero, decât cu excepţia unui număr finit de puncte.

2. Modulul |)(| ωH nu poate fi constant în orice domeniul finit de frecvenţe şi tranziţia de la banda de trecere la cea de oprire nu poate fi infinit de abruptă (aceasta este o consecinţă a fenomenului Gibbs, care rezultă din trunchierea lui ][nh pentru a se obţine cauzalitatea) [38]

3. Părţile reală şi imaginară ale lui )(ωH sunt interdependente, ele fiind legate prin transformata Hilbert directă. În consecinţă, modulul |)(| ωH şi faza )(ωθ a lui )(ωH nu pot fi alese arbitrar.

În paragrafele următoare se va face referire numai la clasa SDLIT cauzale descrisă de ecuaţia cu diferenţe

∑ ∑= =

−+−−=N

k

M

kkk knxbknyany

1 0][][][ (2.16)

Page 383: Prelucrarea digitala a semnalelor

59

al căror răspuns în frecvenţă este

=

=

+= N

k

kjk

M

k

kjk

ea

ebH

1

0

1)(

ω

ω

ω (2.17)

2.2. Tipuri de filtre digitale Filtrele digitale pot fi împărţite în două clase, filtre cu răspuns finit la impuls (RFI) sau FIR (Finite Impulse Response) şi filtre cu răspuns infinit la impuls (RII) sau IIR (Infinite Impulse Response). Oricare din aceste două tipuri poate fi reprezentat prin răspunsul la impuls h[n], ( Nn ∈ pentru filtre cauzale). Intrarea şi ieşirea filtrului sunt legate prin suma de convoluţie, dată de relaţia

∑−

=

−=1

0][][][

M

kknxkhny (2.18)

pentru filtre FIR şi

∑∞

=

−=0

][][][k

knxkhny (2.19)

pentru filtre IIR. Evident, pentru filtrele IIR răspunsul la impuls este de durată infinită şi pentru filtrele FIR răspunsul la impuls are numai M valori. În practică, pentru filtrele IIR nu se foloseşte forma (2.19), pentru că lungimea filtrului este teoretic infinită, ci se foloseşte ecuaţia cu diferenţe, în formă recursivă [63]

∑∑∑==

=

−+−−=−=M

kk

N

kk

kknxbknyaknxkhny

010][][][][][ (2.20)

unde ak, bk sunt coeficienţii filtrului, iar M şi N reprezintă gradul polinomului numărătorului, respectiv numitorului funcţiei de transfer. Ecuaţia (2.18) este ecuaţia cu diferenţe pentru filtre FIR şi (2.20) este ecuaţia cu diferenţe pentru filtre IIR.

O altă reprezentare pentru filtrele FIR şi IIR se poate face folosind funcţiile de sistem

∑−

=

−=1

0][)(

M

k

kzkhzH (2.21)

Page 384: Prelucrarea digitala a semnalelor

60

pentru filtrele FIR şi

=

=

+= N

k

kk

M

k

kk

za

zbzH

1

0

1)( (2.22)

pentru filtre IIR, care rezultă prin aplicarea transformatei Z relaţiilor (2.18), respectiv (2.20).

2.3. Filtre realizabile fizic, selective de frecvenţă

Cerinţele filtrelor digitale sunt în mod obişnuit specificate în domeniul frecvenţă şi sunt exprimate prin amplitudinea sau/şi faza sau întârzierea răspunsului dorit. În cazul filtrului trece jos (FTJ), răspunsul în amplitudine dorit este de obicei dat de

[ ]

∈∈

=πωω

ωωω

,pentru 0],0[pentru 1

)(s

pdH (2.23)

unde sp ωω şi reprezintă frecvenţele unghiulare corespunzătoare capătului benzii de trecere şi începutului benzii de oprire. Deşi pentru un filtru real sunt de dorit caracteristicile filtrelor ideale prezentate în secţiunea 1.7.1, acestea nu sunt absolut necesare în multe din aplicaţiile practice. Renunţând la condiţiile pe care trebuie să le posede un filtru ideal, este posibil a se obţine un filtru a cărui caracteristică să se apropie de cea ideală şi să satisfacă cerinţele de proiectare. Relaxarea condiţiilor se referă la acceptarea faptului ca modulul )(ωH să nu fie constant în întreaga bandă de trecere, un riplu

mic fiind acceptabil. Similar, nu este absolut necesar ca )(ωH să fie zero în banda de oprire, unde, de asemenea, este tolerabil un riplu mic. În caracteristica de amplitudine, trecerea de la banda de trecere la cea de oprire determină banda de tranziţie sau regiunea de tranziţie a filtrului. Modulul normalizat al funcţiei de transfer al unui filtru trece jos realizabil fizic este prezentat în figura 2.2, sub forma unei scheme de toleranţă. Semnificaţia mărimilor de pe figură este următoarea: pω - defineşte frecvenţa corespunzătoare capătului benzii de trecere (limita superioară a benzii de trecere);

Page 385: Prelucrarea digitala a semnalelor

61

sω - defineşte frecvenţa corespunzătoare începutului benzii de oprire (limita inferioară a benzii de oprire); ps ωω − - defineşte lăţimea benzii de tranziţie; pδ - reprezintă riplul din banda de trecere, modulul |)(| ωH variind între pδ±1 ; sδ - reprezintă riplul din banda de oprire.

Figura 2.2. Limitele de toleranţă pentru aproximarea răspunsului în amplitudine a unui

filtru trece jos real Lăţimea benzii de trecere determină în mod obişnuit lăţimea de bandă a filtrului. Se doreşte ca în banda de trecere a filtrului, intervalul [0, ωp], să fie păstrate componentele de semnal, iar cele rejectate să fie în intervalul [ωs, π], numit banda de oprire a filtrului. Caracteristica filtrului trece jos reprezintă răspunsul în amplitudine acceptabil când aceasta se află în limitele 1±δp în banda de trecere şi mai mic sau egal cu δs în banda de oprire. Pentru a face posibilă aproximarea cât mai apropiată de funcţia dorită, specificaţiile includ o bandă de tranziţie, ps ωω − care nu este zero, ca în cazul ideal, în care răspunsul filtrului scade de la valoarea 1 în banda de trecere la zero în banda de oprire.

În cazul filtrelor cu coeficienţi reali, datorită simetriei şi periodicităţii răspunsului în amplitudine ( )ωH , este suficient a se specifica cerinţele filtrului numai pentru intervalul 0 ≤ ω ≤ π.

În practică, se foloseşte de multe ori o scală logaritmică pentru modulul |)(| ωH , reprezentându-se |)(|log20 10 ωH , cu unitatea de

Page 386: Prelucrarea digitala a semnalelor

62

măsură dB . În loc de pδ se poate indica abaterea maximă a atenuării în banda de trecere

[ ]dB 11

log20 10

+=∆

p

ppA

δδ

(2.24)

şi, similar, în loc de sδ , se poate specifica atenuarea maximă în banda de oprire

( ) [ ]dB log20 10 ssA δ−=∆ (2.25) Ambele cantităţi sunt pozitive. În unele aplicaţii, este necesar să se menţină forma semnalului de la intrare, lucru care se realizează dacă răspunsul de fază al filtrului este aproximativ liniar în banda de trecere [0, ωp], adică ( ) )(ωθω =ArgH este o funcţie liniară de ω în intervalul [0, ωp], adică

( ) 10 τωτωθ += , (2.26) unde 0τ şi 1τ pot fi arbitrari. Pentru a analiza liniaritatea fazei, în loc de răspunsul de fază, se poate folosi întârzierea de grup, definită cu relaţia

ωωτ

ddArgH

g)(

−= (2.27)

sau întârzierea de fază, definită cu relaţia

ω

ωτ )(ArgHp −= (2.28)

De multe ori, acestea au o formă de reprezentare mai simplă decât a răspunsului de fază şi sunt adesea mai uşor de interpretat.

Fie ( )ωdH funcţia dorită, ( )ωW funcţia de ponderare a erorii de aproximare şi ( )ωpE valoarea funcţiei eroare ponderată, definite după cum urmează:

( ) ( )

∈∈

=s

pdpd X

XHH

ωωω

ωpentru 0pentru

(2.29)

( )( )

( )

∈=

sss

p

pp

XW

XWW

ωωδδ

ωωω pentru

pentru (2.30)

şi ( ) ( ) ( )])[( ωωωω dp HHWE −= (2.31) unde Xp şi Xs indică reuniunea benzilor de trecere şi, respectiv, de oprire.

Page 387: Prelucrarea digitala a semnalelor

63

În cazul cel mai general, există mai multe benzi de trecere şi de oprire pentru filtru, şi eroarea de aproximare acceptabilă depinde de ω în fiecare bandă. În acest caz, specificaţiile pot fi declarate ca

( ) ( ) ( ) ( ) pXpentru )( ∈+≤≤− ωωεωωωεω pdppdp HHH (2.32)

( ) ( ) sXpentru ∈≤ ωωεω sH (2.33) unde

( ) ( )ωδ

ωεp

pp W

= (2.34)

este deviaţia permisă faţă de răspunsul dorit din banda de trecere, ( )ωdpH şi

( ) ( )ωδ

ωεs

ss W

= (2.35)

este deviaţia faţă de zero, admisă în regiunea benzii de oprire. Cu ajutorul relaţiilor (2.29), (2.30), (2.31), (2.34) şi (2.35) în

limitele benzilor de trecere şi de oprire, specificaţiile din relaţiile (2.32), (2.33) pot fi descrise astfel:

( ) ( ) ( ) pXpentru ][ ∈≤−≤− ωδωωωδ pdppp HHW (2.36)

( ) ( ) sXpentru ∈≤ ωδωω ss HW (2.37) Specificaţiile din ecuaţiile (2.36) şi (2.37) pot fi combinate pentru a

obţine următoarea formă unificată, care este utilizată în multe tehnici de proiectare a filtrelor

( ) sp XXXpentru ∪=∈≤ ωεωpE (2.38) cu

pδε = (2.39) Dacă valoarea absolută maximă a funcţiei eroare ponderate este mai

mică sau egală cu ε în X, atunci ( )ωH va îndeplinii criteriul de gabarit. De exemplu, în cazul filtrelor trece bandă, specificaţiile sunt uzual

declarare ca ( ) [ ]21 ,pentru 11 pppp H ωωωδωδ ∈+≤≤− (2.40)

( ) [ ] [ ]πωωωδω ,,0pentru 21 sssH ∪∈≤ (2.41) Aceste condiţii pot fi scrise în forma unificată dată de relaţia (2.38),

utilizând relaţiile: [ ] [ ] [ ],,,,0 2211 πωωωω sppsX ∪∪= (2.42)

Page 388: Prelucrarea digitala a semnalelor

64

( ) [ ][ ] [ ]

∪∈∈

=πωωω

ωωωω

,,0pentru 0,pentru 1

21

21

ss

ppdH (2.43)

( )[ ][ ] [ ]

∪∈

∈=

πωωωδδ

ωωωω ,,0pentru

,pentru 1

21

21

sss

p

pp

W (2.44)

şi relaţia (2.39). În orice problemă de proiectare de filtre, trebuie să se specifice:

1. Riplul maxim tolerabil în banda de trecere; 2. Riplul maxim tolerabil în banda de oprire; 3. Frecvenţa de capăt a benzii de trecere pω ; 4. Frecvenţa corespunzătoare începutului benzii de oprire sω .

Măsura în care )(ωH aproximează specificaţiile impuse depinde în bună parte de criteriul folosit în alegerea coeficienţilor ka şi kb , ca şi de numărul acestor coeficienţi. 2.3.1. Etape în proiectarea filtrelor digitale

Proiectarea unui filtru digital presupune parcurgerea următoarelor

etape: 1. Specificarea cerinţelor filtrului; 2. Calculul coeficienţilor filtrului, (aproximarea funcţiei de transfer); 3. Stabilirea unui criteriu de calitate pentru răspunsul filtrului obţinut

în comparaţie cu răspunsul dorit; 4. Realizarea filtrului într-o structură potrivită, care realizează

această funcţie de transfer. Realizarea presupune cuantizarea coeficienţilor la un număr finit de biţi şi efectuarea operaţiilor aritmetice cu o precizie finită;

5. Analiza efectelor lungimii finite asupra performanţelor filtrului; 6. Implementare soft şi/sau hard.

Aceste etape nu sunt independente şi unele dintre ele pot fi reluate iterativ.

Page 389: Prelucrarea digitala a semnalelor

65

2.4. Filtre cu răspuns finit la impuls de fază liniară

Unul dintre cele mai simple tipuri de filtre ce poate fi proiectat este filtrul FIR de fază liniară. Aşa cum va rezulta ulterior, numai filtrele FIR pot avea faza liniară, condiţie cerută în multe aplicaţii practice, cum ar fi comunicaţiile digitale. Un filtru FIR de lungime M are răspunsul în frecvenţă, respectiv funcţia de transfer de forma

∑∑−

=

−−

=

− ==1

0

1

0][)(

M

n

njM

n

njn enhebH ωωω (2.45)

unde coeficienţii filtrului, nb , sunt valorile răspunsului la impuls al filtrului, adică

−≤≤

=restîn,0

10,][

Mnbnh n (2.46)

Funcţia de transfer )(ωH , periodică în frecvenţă cu perioada 2π, poate fi exprimată în mai multe moduri, după cum urmează:

)()()( ωθωω jeHH = (2.47) Trecerile prin zero ale funcţiei de transfer conduc la salturi de fază

de π radiani, aşa încât )(ωθ are discontinuităţi în acele puncte. Din acest motiv se preferă următoarea formă pentru funcţia de transfer:

)()( )()()( ωϕωϕ ωωω jR

j eHeHH =±= (2.48) unde )()()( ωθωϕωθ R+= , )()( ωωθ RR HArg= (2.49)

Principalele proprietăţi ale funcţiei de transfer sunt: 1. În cazul în care filtrul FIR are coeficienţii h[n] reali (aşa

cum se întâmplă în majoritatea situaţiilor ce prezintă interes din punct de vedere practic), caracteristica de modul H(ω) este o funcţie pară, iar cea de fază ϕ(ω) sau θ(ω) este o funcţie impară.

2. Funcţia HR(ω) din relaţia (2.48) denumită funcţie de transfer de fază zero este o funcţie reală şi continuă, putând lua atât valori pozitive cât şi negative. Funcţia ϕ(ω) este, de asemenea, o funcţie continuă.

3. Funcţia θ(ω) prezintă salturi de π radiani la frecvenţele la care HR(ω) are treceri prin zero, numite frecvenţe de rejecţie. Acestea pot fi uşor identificate pe caracteristica de fază.

Page 390: Prelucrarea digitala a semnalelor

66

4. În proiectarea filtrelor FIR se preferă exprimarea funcţiei de transfer în forma (2.48), datorită continuităţii funcţiilor HR(ω) şi ϕ(ω) în intervalul fundamental ω ∈ [-π,π]. Condiţia de fază liniară se obţine prin impunerea unei condiţii de simetrie pară sau pozitivă asupra răspunsului la impuls al filtrului, numită uneori, simplu, condiţie de simetrie, adică

]1[][ nMhnh −−= (2.50) sau a unei condiţii de simetrie impară sau negativă asupra răspunsului la impuls al filtrului, adică

]1[][ nMhnh −−−= (2.51) numită şi condiţie de antisimetrie. În continuare, se va arăta că, dacă răspunsul la impuls al filtrului îndeplineşte una din condiţiile din (2.50) sau (2.51), filtrul are faza liniară.

Filtru de tipul 1. Simetrie pozitivă (pară), M impar

]1[]0[ −= Mhh , ]2[]1[ −= Mhh

...

=

21

21 MhMh (2.52)

Ţinând seama de relaţiile (2.45) şi (2.52) răspunsul în frecvenţă devine

)(2

1cos][22

1

)(2

3...]0[2

1

]1[]2[...2

1...]2[]1[]0[][)(

212

3

0

21

21

21

21

)1()2(

1

0

21

2

ωω

ω

ωω

ωωωωω

ωω

ωωωω

R

Mj

M

n

Mj

jjMjMjMj

MjMj

M

n

Mjjjnj

HenMnhMhe

eeMheehMhe

eMheMh

eMhehehhenhH

−−

=

−−

−−

−−−

−−−−

=

−−−−−

=

−+

=

=

+

++

++

=−+−++

+

++++==

(2.53) Termenul din paranteză este real pentru toate valorile lui ω şi se notează cu )(ωRH , adică

Page 391: Prelucrarea digitala a semnalelor

67

∑−

=

−+

=2

3

0 21cos][2

21)(

M

nR nMnhMhH ωω (2.54)

Realizând schimbarea indicelui de sumare

nMm −−

=2

1 (2.55)

şi apoi revenind la indicele n , expresia funcţiei de transfer devine

( )

+

−= ∑

=

−− 2

1

1

21

21cos

212)(

M

n

Mj MhnnMheH ωωω

(2.56)

Introducând notaţiile

[ ]

=2

10 Mha ;

−= nMhna

212][ cu 2

1,1 −= Mn (2.57)

se poate scrie

∑−

=

−−

=2

1

0

21

)cos(][)(

M

n

MjnnaeH ωω

ω (2.58)

Ţinând cont de relaţiile (2.47) ÷ (2.49), din (2.53), (2.54) şi (2.58), rezultă

∑−

=

=2

1

0)cos(][)(

M

nR nnaH ωω ; ωωϕ

21)( −

−=M

<+−

≥−

−=

0)(dacă,2

1

0)(dacă,2

1

)(ωπω

ωωωθ

R

R

HM

HM

(2.59)

Filtru de tipul 2. Simetrie pară, M par ]1[]0[ −= Mhh ]2[]1[ −= Mhh ...

=

21

2MhMh (2.60)

Urmând aceleaşi etape de calcul, se obţine

−= ∑

=

−−

12

0

21

21cos][2)(

M

n

MjnMnheH ωω

ω (2.61)

Page 392: Prelucrarea digitala a semnalelor

68

Termenul din paranteză este real şi se notează cu )(ωRH , adică

∑−

=

−=

12

0 21cos][2)(

M

nR nMnhH ωω (2.62)

Întrucât (M-1)/2 ∉ Z se operează schimbările de indice de sumare

nMm −=2

şi nm → (2.63)

cu care, funcţia de transfer devine

∑=

−−

−=

2

1

21

21cos

22)(

M

n

MjnnMheH ωω

ω (2.64)

Cu notaţia

2M1,n pentru

22][ =

−= nMhnb (2.65)

rezultă

∑=

−−

−=

2

1

21

21cos][)(

M

n

MjnnbeH ωω

ω (2.66)

Ţinând cont de relaţiile (2.47) ÷ (2.49), din (2.61), (2.62) şi (2.66) rezultă

∑−

=

−=

21

1 21cos][)(

M

nR nnbH ωω ; ωωϕ

21)( −

−=M ,

<+−

≥−

−=

0)(dacă,2

1

0)(dacă,2

1

)(ωπω

ωωωθ

R

R

HM

HM

(2.67)

Se observă că, atât pentru M impar cât şi pentru M par, )(ωθ şi )(ωϕ sunt acelaşi, fiind funcţii liniare de ω .

Filtru de tipul 3. Simetrie impară, M impar ]1[]0[ −−= Mhh ]2[]1[ −−= Mhh

… (2.68)

02

12

1=

−=

− MhMh

Page 393: Prelucrarea digitala a semnalelor

69

)()(

21sin][2)](

23...

]0[[]1[]2[...

...2

1...]1[]0[][)(

221

21

23

0

21

21

21

21

)1()2(

211

0

ωω

ω

ω

πωω

ωωω

ωωωωω

ωωω

R

Mj

R

Mj

M

n

Mjjj

MjMjMjMjMj

MjjM

n

nj

HeHje

nMnhjeeeMh

eeheeMheMh

eMhehhenhH

+

−−−

=

−−−

−−

−−−−−−−

−−−

=

==

=

−=−

+

+

−=−+−+

+

+++==

(2.69)

unde ∑−

=

−=

23

0 21sin][2)(

M

nR nMnhH ωω (2.70)

Efectuând schimbarea de indice din (2.55) şi notând

21-M1,ncu

212][ =

−= nMhnc (2.71)

funcţia de transfer devine

∑−

=

=2

1

1

21

2 )sin(][)(

M

n

MjnnceH ωω

ωπ

(2.72)

În acest caz

( ) ( ) ωπωϕωω2

12

; sin][)(2

1

1

−−== ∑

=

MnncH

M

nR

<−

≥−

−=

0)(dacă,2

12

3

0)(dacă,2

12)(

ωωπ

ωωπ

ωθ

R

R

HM

HM

(2.73)

Filtru de tipul 4. Simetrie impară, M par

]1[]0[ −−= Mhh … (2.74)

−=

21

2MhMh

Urmând un mers de calcul similar cu cel de la filtrul de tipul 3, rezultă

Page 394: Prelucrarea digitala a semnalelor

70

)()()( 221

21

ωωωπ

ωω

R

Mj

R

MjHeHjeH

+

−−−

−== (2.75)

unde

∑−

=

−=

12

0 21sin][2)(

M

nR nMnhH ωω (2.76)

Cu schimbarea indicelui de sumare ca în (2.63), rezultă

∑=

−=

2

1

21

2

21sin][)(

M

n

MjnndeH ωω

ωπ

(2.77)

unde

2M1,npentru

22][ =

−= nMhnd . (2.78)

În acest caz

( )∑=

−−=

=

2

1 21

2 ;

21-nsin][)(

M

nR

MndH ωπωϕωω (2.79)

<−

≥−

−=

0dacă,2

12

3

0dacă,2

12)(

R

R

HM

HM

ωπ

ωπ

ωθ (2.80)

Se constată că şi pentru cazul în care răspunsul la impuls prezintă simetrie impară răspunsul de fază este o funcţie liniară de ω .

Aceste formule generale obţinute pentru răspunsul în frecvenţă pot fi folosite în proiectarea filtrelor FIR de fază liniară al căror răspuns la impuls prezintă simetrie pară sau impară. Lungimea filtrului, M, este funcţie de caracteristicile filtrului (lăţimea benzii de tranziţie, riplurile din benzile de trecere şi oprire), şi în literatura de specialitate există relaţii empirice cu ajutorul cărora se determină această mărime [32]. În toate cazurile considerate răspunsul de fază s-a exprimat în forma

αωβωϕ π −= 2)( (2.81)

unde 2

1−=

Mα , β=0 pentru răspuns la impuls simetric şi β=1 pentru

răspuns la impuls antisimetric. Cu alte cuvinte, pentru filtrele de tipul 1 şi 2 caracteristica de fază este cu trecere prin origine, iar pentru filtrele de

Page 395: Prelucrarea digitala a semnalelor

71

tipul 3 şi 4, caracteristica de fază este o dreapta care nu mai trece prin origine. În toate cazurile timpul de întârziere de grup normat este

αωωϕω =−=

ddtg

)()( (2.81’)

Observaţii. 1. Pentru filtrele de tipul 1 şi 3, cu M impar, funcţia HR(ω), are un

corespondent fizic în timp şi anume

[ ]

+=

=−

21)( 2

11 MnheHFnh

Mj-R

ωω (2.82)

hR[n] fiind o secvenţă necauzală, simetrică la tipul 1, respectiv antisimetrică la tipul 3 în raport cu ordonata. Pentru filtrele de tipul 2 şi 4 nu mai există acest corespondent fizic, deoarece în acest caz, (M-1)/2 nefiind întreg, nu mai este permisă deplasarea dată de relaţia (2.82).

2. Din expresiile (2.59), (2.67), (2.73) şi (2.79) se observă că funcţia de transfer de fază zero HR(ω) este o funcţie pară pentru filtrele de tipul 1 şi 2, respectiv impară pentru filtrele de tipul 3 şi 4, care nu are componentă continuă pentru tipurile 3, 4.

3. Analizând expresiile (2.59), (2.67), (2.73) şi (2.79) ale funcţiilor de fază zero HR(ω) în cele patru cazuri se constată că funcţia de fază zero este periodică de perioadă 2π pentru filtrele de tipul 1 sau 3, în schimb pentru filtrele de tipul 2 sau 4, perioada este 4π şi HR(ω) prezintă simetrie de rotaţie (pe a doua jumătate a perioadei repetă evoluţia dar cu semn schimbat). Această observaţie este utilă la proiectarea filtrelor pentru impunerea corectă a condiţiilor în domeniul frecvenţă. În Tabelul 2.1 sunt prezentate în rezumat cele 4 tipuri de filtre FIR

de fază liniară împreună cu anumite particularităţi semnificative care justifică utilizarea sau neutilizarea fiecăruia în realizarea unor anumite tipuri de caracteristici de filtrare: filtre trece jos (FTJ), trece sus (FTS), trece bandă (FTB), opreşte bandă (FOB), transformator Hilbert (TRH) şi diferenţiatoare (DIF). Alegerea condiţiei de simetrie sau antisimetrie pentru răspunsul la impuls depinde de aplicaţie.

Page 396: Prelucrarea digitala a semnalelor

72

Tabelul 2.1

Valoarea lui HR(ω), H(ω) şi H(ω) la:

FIR cu

fază

liniară

de

tipul:

Lungi-

mea M

Secvenţa

h[n]

( )ωRH

( )ωϕ

ω = 0 ω = π

Este indicat

la

proiectarea:

Nu poate fi

folosit la

proiectarea:

1

impară

h[n]=h[M-1-n] ( )∑−

=

21

0cos][

M

nnna ω ω

21−

−M

fără

constrân-geri

fără

constrân-geri

FTJ,FTS FTB FOB

TRH DIF

2

pară

h[n]=h[M-1-n] ∑=

2

1 21cos][

M

nnnb ω

ω2

1−−

M

fără

constrân-geri

0 FTJ

FTB

FTS, FOB TRH DIF

3

impară h[n]=-h[M-1-n]

h[(M-1)/2]=0 ( )∑−

=

21

1sin][

M

nnnc ω

ωπ2

12

−−

M

0

0

FTB TRH DIF

FTJ FTS FOB

4

pară

h[n]=-h[M-1-n] ∑=

2

1 21sin][

M

nnnd ω

ωπ2

12

−−

M

0

fără

constrân-geri

FTS,FTB TRH DIF

FTJ FOB

Page 397: Prelucrarea digitala a semnalelor

73

De exemplu, pentru răspuns la impuls cu simetrie impară şi M impar, din (2.73) rezultă 0)0( =RH şi 0)( =πRH şi, în consecinţă, relaţia (2.73) nu va fi potrivită pentru proiectarea de FTJ sau FTS. Similar, pentru răspuns la impuls cu simetrie impară şi M par, 0)0( =RH , caz în care, această condiţie nu va putea fi folosită în proiectarea unui FTJ FIR de fază liniară. În schimb, condiţia de simetrie pară permite obţinerea unui FTJ cu răspuns diferit de 0 la 0=ω .

∑−

=

+

=2

3

0][2

21)0(

M

nR nhMhH , M impar, (2.83)

∑−

=

=1

2

0][2)0(

M

nR nhH , M par, (2.84)

Fiecare din condiţiile (2.54), (2.62), (2.70) şi (2.76) constituie un set de ecuaţii liniare din care pot fi determinaţi coeficienţii filtrului. În cazul filtrelor al căror răspuns la impuls prezintă simetrie pară

este necesară specificarea răspunsului în frecvenţă în 2

1+M puncte

pentru M impar şi 2M pentru M par. Deşi valorile lui ω pot fi alese

arbitrar, de obicei acestea se aleg ca puncte echidistante în domeniul πω ≤≤0 . Astfel, dacă

par,12

,...,1,0

impar,2

1,...,1,0,2

MMk

MMkM

kk

−=

−==

πω (2.85)

şi se defineşte

−= nMa kkn 2

1cos2 ω , şi 1=kna pentru 2

1−=

Mn şi toţi k (2.86)

ecuaţiile liniare (2.54) şi (2.62) pentru filtre FIR cu răspuns la impuls simetric devin

∑−

=

=2

1

0][)(

M

nknkR nhaH ω ,

21...1,0 −

=Mk pentru M impar (2.87)

Page 398: Prelucrarea digitala a semnalelor

74

∑−

=

=1

2

0

][)(

M

nknkR nhaH ω , 1

2...1,0 −=

Mk , pentru M par. (2.88)

În cazul răspunsului la impuls cu simetrie impară este necesară

specificarea răspunsului în frecvenţă în 2

1−M puncte pentru M impar şi

2M puncte pentru M par. Deoarece (2.70) şi (2.76) implică 0)0( =RH

independent de alegerea lui ][nh , evident, punctul 0=ω nu va putea fi folosit în specificarea răspunsului în frecvenţă. Pentru M impar nu este nici o problemă, deoarece se poate specifica )(ωRH în (M-1)/2 puncte echidistante în domeniul fundamental de frecvenţă, de forma

Mkk /2πω = pentru k=1, 2, ..., (M-1)/2. Când M este par sunt necesare M/2 frecvenţe, astfel încât, dacă nu se poate folosi 0=ω , se va folosi

πω = . În acest caz se definesc frecvenţele kω

par,2

,...,1

impar,2

1,...,1,2

MMk

MMkM

kk

=

−==

πω (2.89)

O alternativă în alegerea frecvenţelor kω care înlătură complet răspunsul nul la 0=ω (şi πω = ) este

par,12

,...,1,0

impar,2

1,...,1,0,)2/1(2

MMk

MMkM

kk

−=

−=

+=

πω (2.90)

Acest set de frecvenţe se obţine din (2.85) prin deplasarea fiecărei

frecvenţe cu Mπ .

Se definesc coeficienţii

−= nMb kkn 2

1sin2 ω (2.91)

Cu (2.91), ecuaţiile liniare (2.70) şi (2.76) devin

∑−

=

=2

3

0][)(

M

nknkR nhbH ω ,

21...2,1 −

=Mk , M impar (2.92)

Page 399: Prelucrarea digitala a semnalelor

75

∑−

=

=1

2

0

][)(

M

nknkR nhbH ω ,

2...2,1 Mk = , M par (2.93)

Exemplul 2.2. Să se determine răspunsul la impuls ][nh al filtrului FIR de fază

liniară de lungime M=4 pentru care 1)0( =RH şi 21

2=

π

RH .

Soluţie. Din (2.88) rezultă sistemul de ecuaţii

=

=+

==+

21

2]1[]0[

1)0(]1[]0[

1110

0100

πR

R

Hhaha

Hhaha

în care 200 =a , 201 =a , 210 −=a , 211 =a . În formă matriceală se poate scrie compact

][]][[ RHhA =

unde [ ]

=22

22A ,

=

]1[]0[

][hh

h ,

=

211

][ RH

Soluţia este

]3[0732232,0)12(24

1]0[ hh ==−=

]2[4267766,0)12(24

1]1[ hh ==+=

Răspunsul în frecvenţă al acestui filtru este

23

)()(ω

ωωj

R eHH−

=

unde

++−=

2cos)12(

23cos)12(

42)( ωωωRH

În exemplul de mai sus, s-a considerat un filtru de lungime foarte mică. În practică, în funcţie de aplicaţie, sunt necesare filtre FIR a căror lungime este mult mai mare (ordinul zecilor), situaţie în care ][nh poate fi calculat numai cu ajutorul calculatorului.

Observaţie. Pentru filtrele FIR cu fază liniară, pentru orice M (par sau impar), există o singură valoare a întârzierii de grup normate, şi anume

Page 400: Prelucrarea digitala a semnalelor

76

21)()()( −

==−=−=M

dd

dd

g αωωϕ

ωωθωτ (2.94)

În figura 2.3 este reprezentată alura răspunsurilor la impuls pentru cele patru tipuri de filtre FIR cu fază liniară.

Figura 2.3. Răspunsurile la impuls pentru cele patru tipuri de filtre FIR cu fază liniară:

(a) tipul 1; (b) tipul 2; (c) tipul 3; (d) tipul 4.

2.4.1. Zerourile funcţiei de transfer a filtrului FIR cu fază liniară

Condiţia de simetrie sau antisimetrie a răspunsului la impuls h[n],

care determină faza liniară a filtrului FIR, implică şi o poziţionare particulară a zerourilor funcţiei de transfer H(z) a acestuia. Înlocuind în

( ) ∑−

=

−=1

0][

M

n

nznhzH (2.95)

z cu z-1, se obţine

( ) ∑−

=

− =1

0

1 ][M

n

nznhzH (2.96)

Page 401: Prelucrarea digitala a semnalelor

77

Efectuând schimbarea indicelui de sumare m=M-1-n şi utilizând condiţia de simetrie pozitivă din (2.50) rezultă următoarea relaţie valabilă pentru filtrele FIR de tipul 1 şi 2.

( ) ( )zHzznhzznMhzH MM

n

nMM

n

nM 11

0

11

0

11 ][]1[ −−

=

−−−

=

−−− ==−−= ∑∑ (2.97)

Procedând similar pentru filtrele de tipul 3 şi 4 cu utilizarea condiţiei de antisimetrie (2.51), se găseşte relaţia

( ) ( )zHzznhzznMhzH MM

n

nMM

n

nM 11

0

11

0

11 ][]1[ −−

=

−−−

=

−−− −=−=−−= ∑∑ (2.98)

Reunind (2.97) şi (2.98) rezultă că funcţia de transfer a oricărui filtru FIR cu fază liniară satisface relaţia

H(z-1) = ±zM-1H(z) (2.99) Din relaţia (2.99) rezultă următoarele concluzii:

1. Dacă zi este un zerou al lui H(z), atunci şi 1/zi este de asemenea un zerou al acestuia;

2. Deoarece, în general, coeficienţii h[n] ai polinomului H(z) sunt reali, zerourile complexe ale acestuia apar în perechi conjugate. Ca urmare, sunt posibile următoarele configuraţii de zerouri exprimate în coordonate polare ale acestora. a) 1

11θjerz = , cu r1 ≠ 1, θ1 ≠ 0, θ1 ≠ π

Caracterul real al coeficienţilor determină existenţa zeroului 1

1*12

θjerzz −== iar liniaritatea fazei, conform relaţiei (2.99), implică existenţa zerourilor z3 şi z4, simetrice faţă de cercul unitate

11

124

113

11z şi 11 θθ− ==== jj erz

erz

z

Acestei configuraţii îi corespunde factorul elementar H1(z) în funcţia de sistem H(z):

( )

431

11

212

1

21

11

11

4

1

11

cos12cos41

cos1211)(

−−−

=

+

+−

+++

+

+−=−= ∏

zzr

rzr

r

zr

rzzzHi

i

θθ

θ (2.100)

Evident, secvenţa h1[n] = Z-1H1(z) este simetrică. Constelaţia celor patru zerouri este dată în figura 2.4 cu marcarea între paranteze a coordonatelor polare.

Page 402: Prelucrarea digitala a semnalelor

78

Figura 2.4. Poziţiile zerourilor pentru r1 ≠ 1 şi θ ≠ 0, θ ≠ π

b) r1 = 1 şi θ1 ≠ 0, θ1 ≠ π Prezenţa zeroului 1

1θjez = implică automat şi zeroul 1

2θjez −=

care este complex conjugatul lui z1. Fiind pe cercul unitate, zerourile z1 şi z2 reprezintă şi propriile lor simetrice faţă de cercul unitate. Factorul elementar corespunzător în H(z) va fi

( ) ( )( ) 211

111 cos2111 11 −−θ−−θ− +θ−=−−= zzezezzH jj

c) r1 ≠ 1 şi θ1 = 0 Zeroul z1 = r1 fiind real, implică doar simetricul său faţă de cercul unitate z2 = 1/r1 şi, deci, factorul elementar

( ) 21

11

1

11

11

11111)( −−−− +

+−=

−−= zz

rr

rzrzzH

d) r1 ≠ 1 şi θ1 = π Analog cazului c), se obţine

( ) 21

111

1211

11H şi 1 −− +

++=−=⇒−= zz

rrz

rzrz

e) r1 = 1 şi θ1 = 0 sau r1 =1 şi θ1 = π Zeroul z1 = 1 (sau z1 = -1) este simultan propriul lui conjugat şi

simetric faţă de cercul unitate. Factorii elementari corespunzători acestora sunt

H1(z) = 1-z-1 respectiv H1(z) = 1+z-1. Utilizând relaţiile

( ) ( ) ( ) ( ) 110 H ; −==== == zz zHzHH πωω ωω (2.101) şi corelând particularităţile funcţiei H(ω) din Tabelul 2.1 cu configuraţiile de zerouri posibile ale funcţiei H(z) de fază liniară, se desprind următoarele observaţii:

Page 403: Prelucrarea digitala a semnalelor

79

Întrucât zerourile menţionate la a), b), c), d) apar în număr par, în cazul filtrelor cu lungime pară (tipurile 2 şi 4), care implică ordinul M-1 impar pentru sistem, este necesară prezenţa factorilor de tipul e) cu ordin de multiplicitate impar. Astfel, la filtrele de tipul 2 este obligatorie prezenţa zeroului z=-1 cu multiplicitate impară pentru a realiza anularea lui H(ω) la ω=π, în timp ce la filtrele de tipul 4 este obligatorie prezenţa zeroului z=1 cu multiplicitate impară pentru a realiza anularea lui H(ω) la ω=0. La filtrele de tipul 3, cu ordinul M-1 par, este obligatorie prezenţa simultană a zerourilor z=1 şi z=-1 pentru a anula H(ω) în ω=0 şi ω=π. Imparitatea funcţiei HR(ω) necesită multiplicitate impară atât pentru z=1, cât şi pentru z=-1. La tipul 1, cu ordinul M-1 par, apariţia zerourilor în z=1 şi z=-1 nu este obligatorie. Se pot introduce, dacă alura caracteristicii de filtrare necesită anularea ei la frecvenţele 0 şi/sau π, dar atunci obligatoriu cu multiplicitate pară pentru fiecare, aceasta pentru a respecta ordinul par al filtrului şi paritatea caracteristicii HR(ω).

Ţinând seama de aceste observaţii, în figura 2.5 se prezintă constelaţiile tipice ale zerourilor celor patru tipuri de filtre FIR cu fază liniară.

Figura 2.5. Constelaţiile tipice de zerouri pentru cele 4 tipuri de filtre FIR cu faza liniară

Page 404: Prelucrarea digitala a semnalelor

80

2.5. Proiectarea filtrelor FIR cu fază liniară prin metoda ferestrelor În această metodă se începe cu specificarea răspunsului dorit în

frecvenţă, )(ωdH , care este o funcţie periodică de perioadă 2π şi se poate dezvolta în serie Fourier

( ) [ ]∑∞

−∞=

−=n

njdd enhH ωω (2.102)

Răspunsul la impuls se deduce cu transformata Fourier inversă

[ ] ( )∫=π

ω ωωπ 221 deHnh nj

dd (2.103)

Răspunsul la impuls ][nhd este, în general, infinit ca durată şi trebuie trunchiat la un număr de puncte n=M-1 pentru a obţine un filtru FIR de lungime M. Funcţia de transfer a filtrului numeric FIR ce trebuie sintetizat este

( ) [ ]∑−

=

−=1

0

M

n

njenhH ωω (2.104)

Efectuând identificarea între termenii sumelor care reprezintă funcţia de transfer dorită şi cea reală a filtrului FIR, rezultă

1,...,0],[][ −== Mnnhnh d (2.105) Lungimea filtrului se presupune cunoscută, cel puţin aproximativ,

din cerinţele de proiectare. Decizia asupra tipului de filtru ales se ia considerând restricţiile sintetizate în Tabelul 2.1.

Întrucât se doreşte ca filtrul rezultat să aibă caracteristica de amplitudine impusă )(ωdH şi caracteristica de fază liniară, în )(ωdH se

include şi factorul de fază liniară

− ωπβ2

12

Mje , unde 0=β pentru filtre de

tipul 1 şi 2 şi 1=β pentru filtre de tipul 3 şi 4. Astfel,

( ) ( )

−=

ωπβωω 2

12

Mj

dRd eHH (2.106) În cazul filtrelor de tipul 1 şi 2 ( )ωdRH este o funcţie pară de ω , iar pentru filtrele de tipul 3 şi 4, impară. Alegerea unui filtru de tipul 3 sau 4 se justifică numai dacă ( ) 00 =dH .

Page 405: Prelucrarea digitala a semnalelor

81

Funcţia de fază zero ( )ωdRH din (2.106) se poate alege ca fiind funcţia de fază zero a filtrului ideal pe care îl aproximează sau, în cazul filtrelor de tipul 1 şi 2, când aceasta prezintă simetrie pară,

( ) ( ) ],[, ππωωω −∈= ddR HH (2.107) iar în cazul filtrelor de tipul 3 şi 4, când aceasta prezintă simetrie impară,

( )( )( ) ,

0,0]0,[,

],0[,

=−∈−

∈=

ωπωωπωω

ω d

d

dR HH

H (2.108)

În concluzie, pentru filtrele de tipul 1 şi 2

( ) ( ) ωωω 2

1−−

=Mj

dRd eHH (2.109) iar pentru filtrele de tipul 3 şi 4

( ) ( )

−=

ωπ

ωω 21

2Mj

dRd eHH (2.110) După stabilirea lui )(ωdH , se descompune acesta în serie Fourier pentru a rezulta secvenţa infinită ][nhd . Coeficienţii filtrului sintetizat se aleg ca în relaţia (2.105). Trunchierea răspunsului la impuls poate fi privită şi ca o înmulţire a secvenţei de lungime infinită cu o fereastră temporală de lungime M, notată w[n], adică

][][][ nwnhnh d= (2.111) cu proprietatea

1]-M[0,npentru ,0][ ∉=nw (2.112) Produsului algebric în timp discret din (2.111) îi corespunde în frecvenţă, convoluţia transformatelor Fourier. Datorită periodicităţii de

π2 a transformatelor Fourier, convoluţia se efectuează pe un interval egal cu perioada fundamentală, egal cu π2 .

( ) ( ) ( )ωωπ

ω WHnhH d ∗==21][F (2.113)

care, evident, nu coincide, în general, cu ( )ωdH . În cazul sintezei filtrelor cu fază liniară, funcţia fereastră w[n] trebuie să îndeplinească condiţia de simetrie

]1[][ nMwnw −−= (2.114) adică este un răspuns la impuls de tipul 1 sau 2, în funcţie de paritatea lui M şi

Page 406: Prelucrarea digitala a semnalelor

82

( ) ( ) 21

−=

Mj

R eWWω

ωω (2.115) unde ( )ωRW este funcţia de fază zero a ferestrei. Relaţia (2.113) devine

( ) ( ) ( )

( ) ( )

( ) ( )∫

−=

=−=

=−=

−−

π

ωπβ

π

λωλπ

β

π

λλωλπ

λλωλπ

λλωλπ

ω

2

21

2

2

)(2

12

12

2

21

21

21

dWHe

deWeH

dWHH

RdR

Mj

Mj

R

Mj

dR

d

(2.116)

Rezultă că trunchierea filtrului FIR de fază liniară conduce la o funcţie de transfer de fază zero, HR(ω), dată de convoluţia periodică, continuă dintre răspunsul dorit de fază zero şi funcţia de transfer de fază zero a ferestrei, adică

( ) ( ) ( ) ( ) ( )ωωπ

λλωλπ

ωπ

RdRRdRR WHdWHH ∗=−= ∫ 21

21

2

(2.117)

Figura 2.6. a) Operaţia de convoluţie implicată de trunchierea răspunsului la impuls ideal, b) Aproximarea răspunsului în frecvenţă al filtrului rezultată din trunchierea

răspunsului la impuls ideal

Din relaţia (2.117) rezultă că dacă )(ωRW este un puls foarte îngust centrat pe 0=ω (ideal, o funcţie Delta) în comparaţie cu )(ωdRH , atunci )(ωRH aproximează foarte fidel pe )(ωdRH . Aceasta implică

Page 407: Prelucrarea digitala a semnalelor

83

funcţia fereastra de lungime M foarte mare (ideal 1][ =nw pentru toţi n, deci lipsa trunchierii, caz în care )(ωW este un tren periodic de impulsuri de perioadă π2 ). Pe de altă parte, lungimea M a ferestrei ar trebui să fie cât mai mică pentru reducerea complexităţii calculului. În figura 2.6 sunt ilustrate )(ωdRH , )(ωRW şi convoluţia periodică dintre ele, )(ωRH .

Exemplul 2.3. Să se proiecteze două FTJ cu fază liniară cu lungimea M=38,

respectiv M=39, frecvenţa de tăiere fiind Fc=5 kHz, iar frecvenţa de eşantionare Fs=40 kHz.

Soluţie. Frecvenţa de tăiere normată este

440522 πππω ===

s

cc F

F (2.118)

Cu definiţia lui HdR(ω) pentru FTJ ideal, <

=restîn,0

,1)( c

dRHωω

ω ,

care este o funcţie pară, utilizând (2.109) şi (2.103), cu valorile din enunţ, se obţine răspunsul la impuls cu lungimea infinită

−=

−=

=== ∫∫−

−−

421sinc

41

21

21sin

21)(

21][ 2

1

21

π

ω

ω

πω

ωπ

ωπ

ω

ω

ωπ

π

ωω

MnMn

Mn

deeeHnh

c

cc

Mnjnj

Mj

dRd

c

c

(2.119)

unde s-a folosit funcţia x

xx sinsinc = .

Trunchierea răspunsului necauzal hd[n] conform relaţiei (2.105) permite determinarea celor M valori ale răspunsului la impuls h[n], pentru n=0,1,...,M-1, reprezentând coeficienţii filtrului FIR proiectat. Secvenţele h[n] obţinute sunt date în figura 2.7.

Particularizând relaţiile din Tabelul 2.1 care dau caracteristicile funcţiei de transfer H(ω), rezultă pentru M=39

( ) ( ) unde cos][)( ; 1919

0∑

=

=−=n

R nnaH ωωωωϕ

Page 408: Prelucrarea digitala a semnalelor

84

19,1,4

sinc21

21-M2ha[n] ;

41

21]0[ ==

−==

= nnnMha π

pentru M=38

( ) ∑=

=−=19

1unde

212cos][)( ;

237

nR

nnbH ωωωωϕ

=

−=

4212sinc

21

2M2hb[n] πnn

Figura 2.7. Răspunsurile la impuls ale FTJ din exemplul 2.3.

Reprezentările grafice ale funcţiei de fază zero şi modulului

funcţiei de transfer sunt prezentate în figura 2.8, (a) şi (c) pentru M=39 şi (b) şi (d) pentru M=38. Se pot remarca proprietăţile de simetrie pară ale tuturor caracteristicilor şi, de asemenea, simetria de rotaţie, de perioadă 4π şi trecerea prin zero la ω=±π a funcţiei HR(ω) a filtrului de tipul 2 (figura 2.8b).

Observaţii 1. Metoda ferestrelor de timp se mai numeşte şi metoda dezvoltării în serie Fourier deoarece relaţia (2.104) reprezintă dezvoltarea în serie Fourier a funcţiei H(ω), periodică, de perioadă 2π. 2. Proiectarea poate fi făcută şi fără a introduce factorul de fază liniară, ca în relaţia (2.109), determinând răspunsul la impuls, de lungime infinită, corespunzător funcţiei de fază zero a filtrului ideal

Page 409: Prelucrarea digitala a semnalelor

85

( )ndenh ccnj

c

c

ωπω

ωπ

ω

ω

ω sinc121][ =⋅= ∫

(2.120)

Acest răspuns, simetric faţă de ordonată, se trunchiază simetric pentru a avea de asemenea faza zero şi lungimea M. În acest caz, răspunsul trunchiat este

( )

≤≤=restîn 0

21

21-M-pentru sinc][)(

Mnnnh cc

tM

ωπω

(2.121)

Se deplasează apoi secvenţa la dreapta cu (M-1)/2 pentru a realiza cauzalitatea filtrului, obţinând secvenţa

( )

≤≤

−=

−=restîn , 0

1-,02

1sinc2

1][ MnMnMnhnh cc

tMM

ωπω

(2.122)

care este, evident, aceeaşi cu cea obţinută prin prima procedură bazată pe relaţiile (2.103) şi (2.109).

Figura 2.8 Caracteristicile în domeniul frecvenţă ale FTJ din exemplu dat,

M = 39 (a şi c); M = 38 (b şi d).

Page 410: Prelucrarea digitala a semnalelor

86

Această metodă este operaţională pentru filtrele de lungime impară (tipurile 1 şi 3), în schimb, pentru cele de lungime pară (tipurile 2 şi 4) ea devine incomodă, secvenţa de lungime pară neputând fi obţinută printr-o simplă deplasare dintr-o altă secvenţă simetrică sau antisimetrică în raport cu originea deoarece (M-1)/2∉Z, motiv pentru care este recomandabil să se determine coeficienţii filtrului cu relaţiile (2.103) şi (2.106), asocierea factorului de fază liniară din (2.106) având două avantaje mari:

• se operează cu H(ω), de perioadă 2π pentru toate tipurile de filtre; • trunchierea asigură localizarea răspunsului de lungime finită M, direct pe suportul 0 ≤ n ≤ M-1. 2.5.1. Tipuri de ferestre

Pentru ferestrele uzuale, caracteristica de amplitudine are un lob

principal, centrat pe 0=ω , şi un număr de lobi secundari cu tendinţe de descreştere. Efectul trunchierii asupra caracteristicii obţinute, cunoscut sub numele de fenomen Gibbs, [63] se poate constata în special în zonele de tranziţie rapidă a caracteristicii. Se constată două aspecte:

1. Apariţia unei benzi de tranziţie de lăţime finită, care este cu atât mai mare, cu cât lărgimea lobului principal al ferestrei este mai mare;

2. Apariţia unor ripluri sau oscilaţii atât în banda de trecere cât şi în cea de oprire a căror amplitudine şi viteză de scădere sunt determinate de amplitudinea şi viteza de scădere a lobilor secundari ai spectrului ferestrei. Aceste ripluri cresc către marginile benzilor de trecere şi oprire, în apropierea punctelor de discontinuitate ale caracteristicii ideale.

În concluzie, pentru a se obţine o bandă de tranziţie cât mai îngustă şi ripluri cât mai reduse, funcţia fereastra utilizată trebuie să îndeplinească următoarelor cerinţe:

1. Funcţia de transfer de fază zero a ferestrei să aibă lobul principal cât mai îngust şi lobi secundari cât mai mici;

2. Lobul principal să conţină cea mai mare parte din energia ferestrei;

3. Energia lobilor secundari să fie cât mai uniform distribuită între aceştia.

La limită, aceste condiţii ar fi îndeplinite de

Page 411: Prelucrarea digitala a semnalelor

87

)(2)( ωπδω =RW (2.123) rezultat fără valoare practică, deoarece în acest caz 1][ =nw , constant pentru orice n, deci lipseşte fereastra. În general, cele trei cerinţe nu pot fi satisfăcute de nici o fereastră deoarece cerinţele 1 şi 2 sunt contradictorii. Din considerentele prezentate anterior rezultă modalitatea de specificare a caracteristicii de amplitudine a filtrului sub forma unor cote de gabarit. Astfel, în cazul proiectării unui filtru trece jos, în banda de trecere funcţia de fază zero oscilează în jurul valorii ideale 1, riplul maxim fiind notat cu δp, iar în banda de oprire, în jurul valorii ideale 0, riplul maxim fiind notat cu δs, cum se arată în figura 2.20. Dacă se reprezintă modulul funcţiei de fază zero şi se duc paralele la abscisă prin 1-δp, respectiv δs, intersecţiile acestora cu graficul definesc banda de trecere efectivă ω∈[0,ωp] (B.T.) şi banda de oprire efectivă (B.O.) ω∈[ωs,π], cu semnificaţia din figura 2.9. Zona situată între ωp şi ωs reprezintă banda de tranziţie a filtrului (B. Tr.). Zonele interzise sunt haşurate pe figură.

Figura 2.9. Caracterizarea modulului funcţiei de fază zero a unui FTJ sub forma unor

cote de gabarit

2.5.1.1. Fereastra dreptunghiulară Fereastra dreptunghiulară cauzală este definită prin relaţia

[ ] −∈

=restîn,0

1,0,1][ MnnwD (2.124)

Transformata Fourier a acesteia conduce la expresia

( ) ( ))2/sin()2/(sin

11 2

11

0 ωωω

ω

ω

ωω Me

eeeW

Mj

j

MjM

n

njD

−−

−−

=

− =−

−== ∑ (2.125)

Page 412: Prelucrarea digitala a semnalelor

88

de unde rezultă termenul de fază zero

( ) ( ) ( )ωω

ωω aDR SMW ==)2/sin()2/(sin (2.126)

Funcţia WDR(ω) este pară, de perioadă 2π pentru M impar, respectiv 4π cu simetrie de rotaţie pentru M par şi

( ) ( ) ( )

+=−=

== == 12kMpentru 12kMpentru 0

; 0 kDRDR WMW πωω ωω (2.127)

( ) Ζ∈Ζ∈=⇒= p ,pM-kcu 20M

kW kkDRπωω .

Frecvenţele la care se anulează WDR(ω) reprezintă nuluri spectrale. În figura 2.10 este reprezentată funcţia de fază zero WDR(ω) pentru M=11, care seamănă cu o sinusoidă amortizată având un lob principal cu lăţimea 4π/M, dublă faţă de cea a lobilor secundari. Lobul principal se defineşte, în general, ca regiunea dintre primele nuluri spectrale aflate de o parte şi de cealaltă a originii. Cu creşterea lui M, lăţimea lobului principal descreşte, iar înălţimea sa creşte. La fel se întâmplă şi pentru lobii laterali, aria de sub fiecare rămânând constantă.

Conform relaţiei (2.117), valoarea lui HR(ω) pentru filtrul FIR cu M impar este dată, în cazul unui filtru trece jos cu răspunsul dorit Hd(ω)=1 şi, corespunzător, HdR(ω)=Hd(ω)=1, pentru ],[ cc ωωω −∈ , de integrala

( ) ( ) [ ]ππωλλωπ

ωω

ω

,-pentru 21

∈−= ∫−

c

c

dWH DRR (2.128)

Figura 2.10 Funcţia de transfer de fază zero a ferestrei dreptunghiulare

Page 413: Prelucrarea digitala a semnalelor

89

Interpretarea relaţiei (2.128) se face grafic în figura 2.11.

Figura 2.11 Explicarea fenomenului Gibbs prin convoluţie

Pe măsură ce )( λω −DRW trece peste o discontinuitate a lui

)(λdH , cu creşterea lui ω , integrala din (2.117) va oscila, după cum fiecare lob din )( λω −DRW traversează discontinuitatea. Deoarece aria de sub fiecare lob rămâne constantă, cu creşterea lui M, oscilaţiile devin mai rapide, dar nu descresc în amplitudine. Aceste oscilaţii se numesc fenomen Gibbs şi se datorează trunchierii seriei Fourier [63].

Pentru a aprecia valoarea integralei (2.128) şi a pune în evidenţă efectul Gibbs, se examinează variaţia lui HR(ω) pe intervalul (0, π), aceasta fiind o funcţie pară obţinută ca rezultat al convoluţiei a două funcţii pare. Se consideră patru situaţii semnificative ω=0, ω=ωc−2π/M; ω=ωc+2π/M; ω=π (ωc=10π/M, M=25) [28]. Astfel, pentru ω=0, din figura 2.11a rezultă

Page 414: Prelucrarea digitala a semnalelor

90

( ) ( ) ( )

( ) ( ) ( )

σσ

ωωπ

ωωπ

ωωπ

ωωπ

λλπ

ω

π

ω

ω

π

π

π

ω

ω

ω

ωω

−=−=

=

+−=

==−=

∫∫∫

∫∫−

−−

−−=

1]0[

21

21

21

21

21

0

DR

DRDRDR

DRDRR

w

dWdWdW

dWdWH

c

c

c

c

c

c

(2.129)

Din relaţia (2.129) se observă că valoarea funcţiei HR(ω) la ω=0 este dată de suma ariilor (cu tot cu semn) lobului principal şi lobilor laterali ce cad în dreptul benzii de trecere a filtrului sau, altfel spus, la ω=0 valoarea integralei se obţine scăzând din 1 suma ariilor lobilor secundari ce nu cad în dreptul benzii de trecere. De aici rezultă că atât timp cât lobul principal al ferestrei baleiază zona de trecere a filtrului ideal, valoarea funcţiei HR(ω), corespunzătoare secvenţei trunchiate, oscilează în jurul valorii 1, mărimea oscilaţiilor fiind dictată de suma ariilor lobilor secundari ce nu intră în intervalul (-ωc, ωc).

Valoarea cea mai mare a lui HR(ω) în banda de trecere (deci şi riplul maxim) se obţine la frecvenţa ω=ωc−2π/M, care corespunde poziţiei ferestrei din figura 2.11b, pentru care aria mare dată de (2.128) se datorează excluderii primului lob lateral din dreapta (cu arie mare negativă). Odată cu intrarea lobului principal în dreptul benzii de oprire, numai lobii secundari rămân în dreptul intervalului (−ωc, ωc), astfel că valoarea lui HR(ω), egală cu suma ariilor acestor lobi, oscilează în jurul valorii 0. Cea mai mare oscilaţie în banda de oprire se obţine la ω=ωc+2π/M, când primul lob lateral stânga, cu arie negativă mare, rămâne în dreptul benzii de trecere, situaţie corespunzătoare figurii 2.11c. Cea mai mică oscilaţie se obţine la ω=π, deoarece acum numai lobii secundari cei mai mici au rămas în dreptul zonei de trecere, cum se arată în figura 2.11d.

La frecvenţa de tăiere teoretică (ω=ωc), jumătate din lobul principal a ieşit din intervalul (−ωc, ωc), astfel că valoarea lui HR(ω) este aproximativ ½, deoarece integrala din WDR(ω) pe un interval de lăţime 2π este unitară şi poate fi considerată aproximativ egală cu integrala lobului principal.

Observaţii. • Riplurile, atât cele din banda de trecere, cât şi cele din banda de

oprire, sunt datorate lobilor secundari.

Page 415: Prelucrarea digitala a semnalelor

91

• Distanţa dintre frecvenţele unghiulare la care apar riplurile maxime din banda de trecere şi banda de oprire este egală cu lăţimea lobului principal al ferestrei

MMM ccππωπω 422

=

−−+ (2.130)

Ca atare, lăţimea zonei de tranziţie, mai mică decât distanţa dintre cele două frecvenţe, este dictată de lăţimea lobului principal. Creşterea lungimii M a filtrului reduce zona de tranziţie, împingând riplurile maxime din cele două benzi spre frecvenţa teoretică de tăiere, neputându-le însă reduce sub 8,9% [28]. Explicarea fenomenului Gibbs efectuată mai sus evidenţiază cerinţele funcţiei fereatră prezentate la începutul paragrafului 2.5.1.

Acestea conduc la următoarele performanţe pentru filtrul proiectat:

1. Zonă de tranziţie îngustă; 2. Ripluri mici ale răspunsului în frecvenţă; 3. Uniformizarea riplurilor, în scopul evitării situaţiei în care energia

lobilor secundari este concentrată în principal în primii lobi secundari. În figura 2.12 sunt prezentate caracteristicile de amplitudine, în

modul şi normate, în decibeli, pentru fereastra dreptunghiulară cu M= 25, iar în figura 2.13 aceleaşi caracteristici ale FTJ proiectat prin ponderarea cu această fereastră, cu frecvenţa de tăiere ωc=2π/5, pe abscisă fiind luată frecvenţa normalizată.

Figura 2.12 Răspunsul în frecvenţă al ferestrei dreptunghiulare cu M = 25

Page 416: Prelucrarea digitala a semnalelor

92

Figura 2.13. Răspunsul în frecvenţă al FTJ cu ωc/2π = 0,2 ponderat cu fereastra

dreptunghiulară cu M = 25

Normarea lui ( )ωDW se face în raport cu valoarea sa maximă M, obţinută la ω=0. Amplitudinile lobilor secundari nu pot fi reduse prin creşterea lui M. Se presupune M suficient de mare, încât

)2/sin( ωM variază mult mai rapid decât )2/sin(ω . Maximul (în modul) se obţine când 1)2/sin( ±=ωM . Prima frecvenţă la care este îndeplinită această condiţie este 2/2/ ππω +=M , deci M/3πω = . Amplitudinea normată a primului lob secundar este

( )( ) ( ) 2,0

32

)2/3sin()2/3sin(11

03

3≈≈==

∞→=

=ππ

πωω

πω

πω NM

DR

MD

D

MMW

MWW

(2.131)

deci amplitudinea normată, în dB, este 20 lg(2/(3π)) ≈ −13 dB pentru M foarte mare (figura 2.12). Aceasta implică o atenuare minimă de doar 21 dB în banda de oprire şi o variaţie maximă a atenuării în banda de trecere de 1,6 dB pentru FTJ proiectat (figura 2.13).

Reducerea riplurilor în cele două benzi se poate realiza utilizând alte tipuri de ferestre, mai puţin abrupte decât cea dreptunghiulară.

2.5.1.2. Familia de ferestre Hamming O relaţia prin care se defineşte familia de ferestre Hamming este

( )

≤≤

−−−=

restîn ,0

1-n0pentru , 1

2cos1][ MM

nnwH

παα (2.132)

Se observă că 12

112]1[]0[ =

−=−=MwşiMWw HHH α .

Page 417: Prelucrarea digitala a semnalelor

93

Dacă α=0,54 fereastra este denumită Hamming propriu - zisă, iar dacă α=0,5 este denumită Hanning (sau fereastra lui von Hann, mai corect).

Determinarea spectrului WHR(ω) se poate face exprimând wHR[n] ca produsul dintre o fereastră dreptunghiulară necauzală wDR[n] şi semnalul periodic x[n]

( )1

2cos1][−

−+=M

nnx παα , (2.133)

adică

( )

−−+==

12cos1][][][][

Mnnwnxnwnw DRDRHR

παα (2.134)

Multiplicării în domeniul timp a semnalelor ][nwDR şi ][nx îi corespunde în domeniul frecvenţă convoluţia circulară a transformatelor lor Fourier, )(ωDRW şi )(ωX . Cum ][nx se compune dintr-un termen

constant şi unul în cosinus de frecvenţă unghiulară 1

2−

=M

πω ,

transformata sa Fourier se poate exprima ca o sumă de trei funcţii Delta, după cum urmează:

−+

−+

−−

−+=

12

21

12

21)()(

MMX πωπδαπωπδαωαπδω (2.135)

Din convoluţia spectrelor rezultă spectrul ferestrei, de forma

( ) ( )

−+

−+

−−

−+=

12

21

12

21

MW

MWWW DRDRDRHR

πωαπωαωαω (2.136)

Se observă că spectrul ferestrei Hamming este format din suma a trei termeni care reprezintă, fiecare, versiuni ale spectrului ferestrei rectangulare, )(ωDRW , scalate şi deplasate pe poziţiile impulsurilor Delta, situaţie ilustrată în figura 2.14. Deplasările determinate de impulsurile din funcţia cosinus poziţionează lobii laterali ai spectrului )(ωDRW , astfel încât aceştia tind să se anuleze, ceea ce conduce la reducerea mărimii lobilor laterali ai spectrului rezultat, ( )ωHRW .

Prin însumarea termenilor dispar zerourile ferestrei dreptunghiulare de la ±2π/M, rezultând un lob principal cu lăţimea dublă faţă de cea a lobului principal al ferestrei dreptunghiulare. Amplitudinile lobilor secundari sunt considerabil mai mici comparativ cu cele ale lobilor secundari ai ferestrei dreptunghiulare. În plus, între 4π/(M-1) şi 6π/(M-1) (şi simetric în stânga) s-a creat prin însumare un zero pentru WHR(ω),

Page 418: Prelucrarea digitala a semnalelor

94

scindând astfel în două primul lob secundar, care este de obicei cel mai puternic. Pentru α=0,54 (fereastra Hamming), 99,96% din energia totală a ferestrei este conţinută în lobul principal, iar lobul secundar cel mai puternic are amplitudinea cu 41 dB mai mică decât lobul principal. Pentru filtrele proiectate prin metoda ferestrei cu ponderare Hamming, creşterea lăţimii lobului principal determină creşterea lăţimii zonei de tranziţie, în timp ce descreşterea amplitudinilor lobilor laterali determină ripluri mai mici în zona de oprire şi în zona de trecere.

Figura 2.14. Funcţia de fază zero a ferestrei Hamming.

2.5.1.3. Fereastra Kaiser În 1974 Kaiser [24] a propus o nouă fereastră, care îi poartă

numele, bazată pe aproximările în timp discret ale aşa-numitei clase de funcţii sferoidale (funcţii cu suport finit în timp, dar cu energie minim posibilă localizată în afara unui interval de frecvenţă selectat).

Fereastra Kaiser este definită ca

Page 419: Prelucrarea digitala a semnalelor

95

( )

−≤≤

−=

restîn 0

10pentru ,

1][

0

2

0

MnI

nInwK

β

ααβ

(2.137)

unde 2

1−=

Mα şi I0(x) este funcţia Bessel modificată de ordinul zero, ce

poate fi calculată cu ajutorul seriei de puteri

( )( )∑∑

=

=

+=

+=1

2

2

1

2

0 !21

!21

k

k

k

k

k

x

k

x

xI (2.138)

În proiectările de filtre FIR cu această fereastră sunt disponibili doi parametri M şi β, prin alegerea cărora se poate ajusta banda de tranziţie şi nivelul lobilor secundari ai spectrului. Obişnuit, parametrul β ia valori în plaja [4, 9] şi pentru x∈[0, β] sunt suficienţi circa 20 termeni în suma (2.138) pentru a obţine eşantioanele lui wK[n] cu destulă acurateţe.

Nu există o expresie compactă pentru transformata Fourier WK(ω), dar aceasta nu este necesară în proiectarea filtrului.

Pe măsură ce valoarea lui β creşte, atenuarea minimă în banda de oprire a filtrului proiectat creşte, de asemenea, preţul plătit fiind lărgirea benzii de tranziţie. Parametrul flexibil β poate fi ales astfel încât să se realizeze atenuarea minimă dorită în banda de oprire a filtrului, după care lungimea M poate fi aleasă pentru a satisface lăţimea ∆f=ω/(2π) a benzii de tranziţie. Pentru β=0, se obţine fereastra rectangulară.

Kaiser a determinat empiric formule pentru determinarea cu precizie satisfăcătoare a parametrilor β şi M în funcţie de atenuarea minimă din banda de oprire şi lăţimea benzii de tranziţie, ∆f=∆ω/2π, a FTJ proiectat. Astfel, cunoscând valoarea lui ssA δlg20−= , în dB, parametrul β este dat de relaţia [32]

Page 420: Prelucrarea digitala a semnalelor

96

( )( ) ( )

<<<−+−

>−=

dBAdBAdBAA

dBAA

s

sss

ss

21pentru 05021pentru 2107886,0215842,0

50pentru 7,81102,04,0β

(2.139) Kaiser a găsit [24] următoarea formulă pentru estimarea lungimii

M a ferestrei:

fAM s

∆−

=−36,14

81 (2.140)

Dacă răspunsul în frecvenţă al filtrului astfel obţinut nu satisface restricţiile impuse pentru sA şi ∆f, se măresc puţin valorile lui β şi M, verificând din nou răspunsul. În general prin două sau trei încercări, după calculul preliminar al lui β şi M, se ajunge la soluţia bună. În Tabelul 2.2 sunt prezentate performanţele ferestrei Kaiser în funcţie de parametrul β.

Tabelul 2.2. Performanţele FTJ proiectat Parametrul

β Lăţimea lobului

principal [dB]

Atenuarea primului lob

secundar [dB] Factorul D=∆fM

Atenuarea minimă [dB]

2 4π/M -19 1,5 29 3 6π/M -24 2,0 37 4 8π/M -30 2,6 45 5 10πM -37 3,2 54 6 12π/M -44 3,8 63 7 14π/M -51 4,5 72 8 16π/M -59 5,1 81 9 18π/M -67 5,7 90

10 20π/M -74 6,4 99 Exemplul 2.4. Să se determine parametrii β şi M ai ferestrei Kaiser necesari

proiectării unui FTJ cu riplul din banda de oprire 001,0=sδ , ştiind că frecvenţele benzilor de trecere şi de oprire sunt πω 4,0=p , respectiv πω 6,0=s .

Page 421: Prelucrarea digitala a semnalelor

97

Soluţie. Se determină mai întâi lăţimea zonei de tranziţie normate ∆f:

1,02

4,06,02

=−

=∆

=∆π

πππωf . dBA ss 60)001,0lg(20lg20 =⋅−=−= δ

Apoi se calculează parametrul β cu relaţia (2.139) şi M cu (2.140).

( ) 653,57,8601102,0 =−=β , 3711,036,14

860=

+

⋅−

=M

Relaţia (2.140) mai poate fi scrisă în forma As=8+14,36(M-1)∆f ≅ 8+14,36D cu D=M∆f

Cum D depinde de doi factori, M şi ∆f, înseamnă că există două situaţii, una cu lungime mare a filtrului şi bandă de tranziţie îngustă, respectiv lungime mică şi tranziţie largă, ce conduc la acelaşi factor D, obţinându-se aceeaşi valoare pentru atenuarea minimă din banda de oprire a filtrului proiectat.

2.5.1.4. Fereastra Bartlett

Ferestra Bartlett este o fereastră triunghiulară definită cu relaţia [2]

10,2

11

21][ −≤≤−

−−

−= MnMnM

nwB (2.141)

Fereastra triunghiulară poate fi definită şi cu alte relaţii, rezultatele fiind apropiate pentru lungimi mari ale filtrului. Această fereastră are performanţe scăzute în sinteza filtrelor FIR, fiind utilizată mult în domeniul analizei spectrale a semnalelor. Lăţimea lobului principal este aceeaşi ca în cazul ferestrelor Hamming )/8( Mπ , dar lobii secundari sunt mult mai mari. [28].

2.5.1.5. Fereastra Blackman Din cele prezentate până acum s-a văzut necesitatea de a realiza

ferestre cu tranziţii lente în timp, pentru a asigura un nivel scăzut al lobilor secundari, dar şi cu o lăţime mare a lobului principal. Fereastra Blackman se obţine tot din familia de ferestre în cosinus ridicat, ca ferestrele Hamming şi Hanning prin adăugarea unui termen suplimentar faţă de fereastra Hamming care conduce la lărgirea şi mai mult a lobul principal. O posibilitate de a defini fereastra Blackman este dată de relaţia

Page 422: Prelucrarea digitala a semnalelor

98

10,1

4cos08,01

2cos5,042,0][ −≤≤

−++

−−= Mnn

Mn

MnwBL

ππ

(2.142) Din analiza spectrului acestei ferestre, obţinut într-un mod similar celui prezentat la fereastra Hamming, se observă că lăţimea lobului principal este de trei ori mai mare decât în cazul ferestrei dreptunghiulare

))1/(12( −Mπ , iar amplitudinea lobilor secundari prezintă o atenuare de 58 dB faţă de cel principal [28]. Alte două tipuri de ferestre folosite în practică sunt Fereastra Lanczos, definită ca [48]

0,)1/(

212

)1/(2

12sin][ >

−= L

MMn

MMnnw

L

l

π

π

Fereastra Tukey [48]

−≤

−−≤

−−

−+−+

<<−

≤−

−=

21

21

21,

2/)1)(1(2/)1)(1(cos1

21

10,2

12

1,1][

MMnMM

Mn

MMnnw

απα

α

αα

În Tabelul 2.3 sunt centralizate funcţiile pondere împreună cu

funcţiile de transfer de fază zero corespunzătoare ale ferestrelor prezentate. În tabelul 2.4 sunt redate performanţele ferestrelor exprimate prin lăţimea lobului principal şi atenuarea relativă în dB a primului lob lateral. De asemenea, sunt prezentate performanţele FTJ cu fază liniară proiectat prin metoda ferestrelor, performanţe exprimate prin lăţimea zonei de tranziţie sau, echivalent, prin factorul D şi atenuarea minimă în zona de oprire.

Page 423: Prelucrarea digitala a semnalelor

99

Tabelul 2.3

Tipuri de ferestre utilizate în proiectarea filtrelor FIR Nr.

crt.

Denumirea

ferestrei

Definiţia ferestrei cauzale w[n]

0 ≤ n ≤M-1; M par sau impar Funcţia de fază zero WR(ω)

1 Dreptunghiulară 1 ( )( )2

2

sinsin

)(ω

ωω

Mj

DR eW =

2 Triunghiulară

(Bartlett) 21

121 −− −− M

M n ( )( ) ;

sinsin1

22

22

ω

ωM

M M impar

3 Hanning (Hann) 12cos5,05,0 −− M

nπ ( ) ( ) ( )12

12 25,025,05,0 −− −+++ MDRMDRDR WWW ππ ωωω

4 Hamming 12cos46,054,0 −− M

nπ ( ) ( )12

12 23,023,0)(54,0 −− −+++ MDRMDRDR WWW ππ ωωω

5 Blackman 1

41

2

cos08,0cos5,042,0

+

+−

Mn

Mn

π

π

( ) ( )

( ) ( )14

14

12

12

04,004,025,025,0)(42,0

−−

−−

−+++

+−+++

MdRMdR

MdRMdRdR

WWWWW

ππ

ππ

ωωωωω

6 Kaiser ( )

( ) 21

0

20

,1

=

M

n

I

β

β αα

Nu există formulă compactă

Page 424: Prelucrarea digitala a semnalelor

100

Tabelul 2.4

Proprietăţile ferestrelor din Tabelul 2.3 Performanţele FTJ cu fază liniară proiectat

Nr. crt.

Tipul ferestrei

Lăţimea lobului

principal

Atenuarea primului lob

secundar [dB]

Lăţimea benzii

tranziţie ∆ω

Factorul

fMMD ∆=∆

=πω

2

Atenuarea minimă în zona de oprire [dB]

1 Dreptunghiulară Mπ4

-13 M

π84,1 0,92 -21

2 Triunghiulară 1

8−Mπ

-25 M

π68,3 1,84 -25

3 Hanning 1

8−Mπ

-31 M

π22,6 3,11 -44

4 Hamming 1

8−Mπ

-41 M

π64,6 3,32 -54

5 Blackman 1

12−Mπ

-58 M

π2,11 5,6 -74

6 Kaiser cu β=7,865 MMππβ 73,152

=

(reglabil cu β)

-57 (reglabil cu β) M

π12,10

(reglabil cu β)

5,06 (reglabil cu β)

-80 (reglabil cu β)

Page 425: Prelucrarea digitala a semnalelor

101

Din cele prezentate până acum se desprind următoarele observaţii: 1. Cu excepţia ferestrei Kaiser, pentru care factorul D este reglabil cu

ajutorul parametrului β, pentru celelalte ferestre factorul D este fix, ceea ce justifică denumirea de ferestre fixe pentru acestea. Din acest motiv la ferestrele fixe există o valoare fixă a atenuării minime în banda de oprire a filtrului proiectat, specifică fiecărei ferestre, în timp ce la fereastra Kaiser se poate obţine orice valoare a atenuării minime prin alegerea adecvată a lui β;

2. Performanţele filtrelor proiectate cu ferestrele dreptunghiulară, Hamming, Blackman pot fi obţinute folosind ferestre Kaiser cu β=0, β=5,4414, respectiv, β=8,885;

3. În cazul în care FTJ proiectat are benzile de trecere şi oprire egale, riplurile δp şi δs în cele două benzi sunt egale. Când benzile nu sunt egale riplurile diferă puţin şi nu pot fi controlate independent. Riplul δs rezultă cu precizie din As impus la proiectare, în schimb δp va rezultă automat în funcţie de δs şi raportul benzilor de trecere şi oprire. Lipsa posibilităţii unui control simultan asupra riplurilor din cele

două benzi reprezintă o limitare a metodei ferestrelor. 2.5.2. Exemple şi concluzii la metoda ferestrelor În figurile 2.15, 2.16, 2.17, 2.18 sunt prezentate funcţiile pondere

w[n] pentru tipurile de ferestre prezentate, precum şi caracteristicile de amplitudine în dB ale ferestrelor şi filtrelor trece jos (de lungime M=51 cu frecvenţa de tăiere normată ωc=π/4), proiectate cu ferestrele Hamming, Blackman şi Kaiser.

Din aceste reprezentări se pot observa performanţele ferestrelor şi filtrelor proiectate:

1. Valorile în dB ale atenuării primului lob secundar al ferestrelor Hamming, Blackman şi Kaiser cu β=10, sunt: -43 dB, -58 dB, şi -74 dB;

2. Atenuările minime din banda de oprire pentru filtrele proiectate cu aceste ferestre sunt: 54 dB, 74 dB, 100 dB.

Page 426: Prelucrarea digitala a semnalelor

102

Figura 2.15. Diferite tipuri de ferestre

Figura 2.16. Caracteristicile de frecvenţă în dB ale a) ferestrei Hamming şi b) filtrului

proiectat cu fereatra Hamming, pentru M=51 şi fc=0,125

Figura 2.17. Caracteristicile de amplitudine în dB ale a) ferestrei Blackman şi b) filtrului

proiectat cu fereatra Blackman, pentru M=51 şi fc=0,125

Page 427: Prelucrarea digitala a semnalelor

103

Figura 2.18. Caracteristicile de amplitudine în dB ale a) ferestrei Kaiser şi b) filtrului

proiectat cu fereatra Kaiser, pentru M=51 şi fc=0,125, β=10. Avantajele metodei ferestrelor sunt date de:

• Simplitate; • Obţinerea de formule compacte pentru calculul coeficienţilor; • Nu necesită proceduri de optimizare.

Dezavantajele metodei ferestrelor constau în: • Necesitatea unei exprimări analitice compacte pentru răspunsul în

frecvenţă impus la proiectare, adică pentru funcţia dorită Hd(ω). În lipsa acestei exprimări nu se obţine o expresie compactă pentru coeficienţii neponderaţi hd[n], utilizarea ferestrelor devenind dificilă.

• Flexibilitatea relativ mică în proiectare, în sensul imposibilităţii specificării cu exactitate a frecvenţelor limită ale benzilor de trecere şi oprire efective ωp şi ωs. La proiectare se impune frecvenţa teoretică de tăiere ωc a lui Hd(ω), dar ponderarea cu o funcţie fereastră are ca efect împrăştierea discontinuităţii în domeniul frecvenţă, ca efect al convoluţiei dintre spectrul dorit şi cel al ferestrei, efect ce depinde de tipul ferestrei utilizate. Deşi în multe aplicaţii efectul de împrăştiere poate fi compensat prin alegerea lui ωc ca medie aritmetică a lui ωp şi ωs, în cazul filtrelor cu tranziţie abruptă controlul lui ωp şi ωs nu este asigurat cu precizie satisfăcătoare.

Page 428: Prelucrarea digitala a semnalelor

104

2.6. Metoda eşantionării în frecvenţă În proiectarea filtrelor FIR prin metoda eşantionării în frecvenţă se

specifică răspunsul în frecvenţă dorit )(ωdH într-un set de M frecvenţe uniform distanţate în intervalul [0, 2π], fie acestea

,2/1sau0par],1)2/,...[(1,0

impar,2/)1,...(1,0),(2

=−=

−=+=

α

απω

MMk

MMkkMk

(2.143)

şi din aceste specificaţii se calculează răspunsul la impuls al filtrului sintetizat, care să îndeplinească cerinţele de proiectare. Suportul teoretic al acestei metode îl constituie Transformata Fourier Discretă. Impunând identitate între răspunsul filtrului dorit şi cel sintetizat la frecvenţele specificate, se poate scrie

( )

1-M0,k ;][

)(2][

1

0

2)(

2)(

==

==

+=+

∑−

=

+−

+=

M

n

Mnkj

Mkd

enh

HkM

HkH

πα

παω

ωαπα (2.144)

Relaţia (2.144) reprezintă un sistem de M ecuaţii cu M necunoscute, 1,...,1,0],[ −= Mnnh . Pentru a obţine soluţia în formă compactă a acestui sistem, se înmulţesc ambii membri ai relaţiei (2.144)

cu Mmkj

eπ2

şi se sumează după k de la 0 la M-1. Coeficienţii h[n] ai filtrului în funcţie de termenii ][ α+kH sunt

1-M0,n ; ][1][1

0

2)(=+= ∑

=

+M

k

nM

kjekH

Mnh

πα

α (2.145)

Se observă că pentru 0=α , relaţia (2.144) reprezintă Transformata Fourier Discretă (DFT) a secvenţei ][nh , iar relaţia (2.145) se reduce la Transformata Fourier Discretă Inversă (IDFT). Avantajul celui de-al doilea tip de alegere a eşantioanelor în frecvenţă, care

corespunde lui 21

=α ar putea apărea în situaţia în care acest set de valori

pentru ω ar duce la o precizie mai strânsă a limitelor benzii de trecere şi oprire efective, în sensul plasării unor eşantioane cât mai spre marginile acestor benzi.

Page 429: Prelucrarea digitala a semnalelor

105

Funcţia de sistem H(z) a filtrului proiectat este

∑ ∑∑−

=

−−

=

+−

=

+==

1

0

1

0

2)(1

0

][1][)(M

n

nM

k

nM

kjM

n

n zekHM

znhzHπ

αα (2.146)

Interschimbând ordinea de sumare şi efectuând sumarea după n, se obţine

∑ ∑−

= −+

=

=

−+

+−=

=

+=

1

0 12)(

2

1

0

1

0

12)(

1

][1

1][)(

M

k Mkj

jM

M

k

nM

n

Mkj

ze

kHM

ez

zeM

kHzH

πα

πα

πα

α

α

(2.146’)

Evaluând funcţia de sistem pe cercul de unitate, se obţine

∑−

=

+−−

−−

+=

1

0

)(

21

2221sin

22

sin][)(

M

k

MkjMj

Mk

M

MM

MekHeH

ππαω

παωαω

πα

αω

(2.147)

Cu notaţia

( )

−−=

−−

−−

=+M

kM

SM

Mk

M

Mk

MM

MkP a

ππαωππαω

ππαωαω 221

2221sin

222

sin1,

(2.148) funcţia de transfer )(ωH se poate exprima în forma

( ) ( )∑−

=

+

−−−

+−+=1

0

21

,1][)(M

k

kMkjMj

kPekHeH αωαωπααω

(2.149)

Pentru a evidenţia cum se obţine răspunsul în frecvenţă al filtrului sintetizat în funcţie de eşantioanele prescrise pentru răspunsul dorit, se consideră, de exemplu, că se doreşte proiectarea unui filtru FIR de fază liniară de tipul 1 sau 2, caz în care eşantioanele ][ α+kH vor fi de forma

MMkj

RM

k

Mj

R ekHeHkH)1()(

2)(2

1

][)(][−

+−

+=

−−

+==+πα

παω

ωαωα (2.150)

Page 430: Prelucrarea digitala a semnalelor

106

unde ][ α+kH R reprezintă eşantioanele ][ α+kH d ale funcţiei de transfer

de fază zero a modelului ideal, ][][ αα +=+ kHkH dR .

În aceste condiţii, înlocuind (2.150) în (2.149), rezultă

( )∑−

=

−−

++=1

0

21

,][)(M

kd

MjkPkHeH αωαω

ω (2.149’)

de unde se obţine funcţia de fază zero a filtrului proiectat

( )

∑−

=

=

−−+=

=++=

1

0

1

0

221][

,][)(

M

kad

M

kdR

Mk

MS

MkH

kPkHH

ππαωα

αωαω (2.151)

Relaţia (2.151) precizează modul în care se obţine răspunsul în frecvenţă HR(ω) prin interpolarea răspunsului în frecvenţă eşantionat, şi anume: fiecare eşantion ][ α+kH d ponderează o funcţie ( )ωaS centrată,

în domeniul frecvenţă, pe eşantionul α+k . Prin sumarea celor M-1 funcţii ( )ωaS ponderate, rezultă funcţia de transfer de fază zero a filtrului

proiectat. aşa cum este ilustrat în figura 2.19.

Figura 2.19. Răspunsul de fază zero al filtrului dorit şi cel real

La frecvenţele considerate se va obţine identitate între

caracteristica rezultată şi cea dorită, adică eroarea de aproximare este zero la Mk /2)( παω += , dar nu există control direct asupra erorilor ce apar la alte frecvenţe, rezultând oscilaţii atât în banda de trecere, cât şi în cea de oprire, cum se arată în figura 2.19. Amplitudinea acestor oscilaţii poate

Page 431: Prelucrarea digitala a semnalelor

107

fi mare, dacă se impune o bandă de tranziţie foarte mică (mai mică decât M/2π ). Riplurile pot fi reduse dacă se acceptă o bandă de tranziţie mai

largă sau se impun valori intermediare între banda de trecere şi cea de oprire. O altă soluţie este de a lăsa nespecificate valorile unor eşantioane din banda de tranziţie, care devin variabile auxiliare ce vor fi utilizate într-o procedură de optimizare pe calculator a soluţiei, procedură ce urmăreşte minimizarea erorii dintre caracteristica dorită şi cea sintetizată.

Dacă ][nh este real, eşantioanele caracteristicii de frecvenţă satisfac condiţia de simetrie

][][ * αα −−=+ kMHhH (2.152) care, împreună cu condiţia de simetrie pentru ][nh poate reduce specificaţiile de frecvenţă de la M la (M-1)/2 puncte pentru M impar şi la M/2 punte pentru M par.

Experimental s-a constatat că [31]: • Un eşantion în zona de tranziţie, folosit ca variabilă auxiliară,

conduce la o atenuare minimă în banda de oprire de ordinul 45 ÷ 55 dB;

• Două eşantioane în zona de tranziţie conduc la o valoare a atenuării minime în intervalul 65 ÷ 75 dB;

• Trei eşantioane în zona de tranziţie asigură o atenuare minimă ce ajunge la 85 ÷ 95 dB. Metoda eşantionării în frecvenţă este aplicabilă pentru filtre cu

orice caracteristică de fază, prin generarea secvenţei ][ α+kH şi aplicarea transformatei Fourier discrete inverse. Metoda eşantionării în frecvenţă poate fi combinată cu metoda ferestrelor, ponderarea secvenţei h[n] cu o fereastră w[n] de tip Hamming, Blackman sau Kaiser reducând foarte mult riplurile în cele două benzi ale filtrului.

2.7. Proiectare filtrelor optimale echiriplu FIR de fază liniară

Metoda de proiectare descrisă în acest paragraf este formulată ca o

problemă de aproximare Cebîşev. Aproximarea de tip Cebîşev este văzută ca un criteriu de proiectare optim, în sensul că eroarea de aproximare ponderată dintre răspunsul în frecvenţă dorit şi cel obţinut este întinsă uniform peste banda de trecere şi cea de oprire şi apoi se minimizează eroarea maximă.

Page 432: Prelucrarea digitala a semnalelor

108

Filtrele obţinute prin folosirea acestui criteriu sunt cunoscute sub denumirea de filtre optimale.

În tabelul 2.1 au fost prezentate funcţiile de transfer de fază zero pentru cele 4 tipuri de filtre FIR cu fază liniară. Cu ajutorul identităţilor trigonometrice, fiecare dintre ele poate fi adusă la forma

)()()( ωωω PQH R = (2.153) unde P(ω) este o combinaţie liniară de termeni în cos(nω), iar Q(ω) o funcţie specifică fiecăruia din cele patru tipuri de filtre.

Pentru filtre FIR de tipul 1(răspuns la impuls simetric şi M impar)

( )

−=

=

== ∑−

=

21,...,2,1,

212

0,2

1

][,cos][)(2

1

0 MnnMh

nMhnannaH

M

nR ωω (2.154)

de unde rezultă direct

( )∑−

=

==2

1

0cos][)( ; 1)(

M

nnnaPQ ωωω (2.155)

Pentru filtre FIR de tipul 2 (răspuns la impuls simetric şi M par)

2,...,2,1,

22][,

21cos][)(

2

1

MnnMhnbnnbH

M

nR =

−=

−= ∑

=

ωω (2.156)

Considerând n=0,..., M/2 – 1 în identitatea

( )

−+

+=

ωωωω

21cos

21cos][

21cos

2cos][ nnnbnnb (2.157)

şi adunând membru cu membru cele M/2 egalităţi, se obţine identitatea

( ) [ ] [ ]121 ; 1-

2M2,3,...,n ,]1[][

21][

0];[]1[21]1[cu

,21cos][)cos(][

2cos

22

2

1

12

0

−==−+=

+=

−=

∑∑

=

=

MM

M

n

M

n

bbnbnbnb

bbb

nnbnnb ωωω

(2.158)

Cu ajutorul relaţiei (2.158), relaţia (2.156) poate fi scrisă în forma

∑−

=

=

12

0)cos(][

2cos)(

M

nR nnbH ωωω (2.159)

Page 433: Prelucrarea digitala a semnalelor

109

Comparând (2.159) cu (2.153) rezultă

∑−

=

=

=

12

0)cos(][)( ;

2cos)(

M

nnnbPQ ωωωω (2.160)

Pentru filtre FIR de tipul 3 (răspuns la impuls antisimetric şi M impar)

( )2

1,...,2,1,2

12;sin][)(2

1

1

−=

−== ∑

=

MnnMhcnncH n

M

nR ωω (2.161)

Dând lui n valorile n=0,..., (M-3)/2 în identitatea trigonometrică

( ) ωωωω )1sin()1sin(][21cossin][ −−+= nnncnnc (2.162)

şi adunând membru cu membru cele (M-1)/2 egalităţi, se obţine identitatea

( )

[ ] [ ] [ ] [ ]23

21

25

23

25-M

21

1

23

0

21;

21

;2,3,...,n ,]1[]1[21][

; 2][21]0[]1[cu sin][)cos(][sin

−−−−

=

=

==

=+−−=

−== ∑∑

MMMM

M

n

M

n

cccc

ncncnc

cccnncnnc ωωω

(2.163)

Cu ajutorul relaţiei (2.163), relaţia (2.161) poate fi scrisă în forma

∑−

=

=2

3

0)cos(][sin)(

M

nR nncH ωωω (2.164)

Comparând (2.164) cu (2.153) rezultă

∑−

=

==2

3

0)cos(][)( ; sin)(

M

nnncPQ ωωωω (2.165)

Pentru filtre FIR de tipul 4 (răspuns la impuls antisimetric şi M par)

2,...,2,1,

22][,

21sin][)(

2

1

MnnMhndnndH

M

nR =

−=

−= ∑

=

ωω (2.166)

Dând lui n valorile n=0,..., M/2 – 1 în identitatea trigonometrică

( )

−−

+=

ωωωω

21sin

21sin][

21cos

2sin][ nnndnnd (2.167)

Page 434: Prelucrarea digitala a semnalelor

110

şi adunând membru cu membru cele M/2 egalităţi, se obţine identitatea

( )

[ ] [ ]121

; 1-2M2,3,...,n ,][]1[

21][; 1][

21 -0][]1[cu

21cos][)cos(][

2sin

22

2

1

12

0

−=

=−−==

−=

∑∑

=

=

MM

M

n

M

n

dd

ndndndddd

nndnnd ωωω

(2.168)

Cu ajutorul relaţiei (2.168), relaţia (2.166) poate fi scrisă în forma

∑−

=

=

12

0)cos(][

2sin)(

M

nR nndH ωωω (2.169)

Comparând (2.169) cu (2.153) rezultă

∑−

=

=

=

12

0)cos(][)( ;

2sin)(

M

nnndPQ ωωωω (2.170)

Funcţiile Q(ω) şi P(ω) pentru cele patru tipuri de filtre FIR cu fază liniară

sunt ∑=

=

=L

nn nP

tipulpentru

tipulpentru

tipulpentru

tipulpentru

Q0

)cos()( ;

4,2

sin

3,sin

2,2

cos

1,1

)( ωαω

ωω

ω

ω (2.171)

cu ][],[],[],[ ndncnbnan =α , pentru filtrele de tipul 1, 2, 3 şi, respectiv, 4. Parametrii nα ai filtrului sunt dependenţi de răspunsul la impuls al acestuia. Limita superioară a sumei, L, este L=(M-1)/2 pentru tipul 1, L=(M-3)/2 pentru tipul 3 şi L=(M/2)-1 pentru tipurile 2 şi 4. Exprimarea funcţiei HR(ω) în forma (2.153) permite tratarea unitară a celor 4 tipuri de filtre FIR cu fază liniară.

În plus faţă de specificaţiile făcute asupra funcţiei de fază zero a filtrului proiectat, se defineşte funcţia de fază zero, )(ωdRH , a răspunsului dorit şi funcţia de ponderare a erorii de aproximare, )(ωW . Răspunsul dorit în frecvenţă, )(ωdRH , cu valori reale, este considerat egal cu unitatea în banda de trecere şi zero în banda de oprire.

Page 435: Prelucrarea digitala a semnalelor

111

Funcţia de ponderare a erorii, W(ω), permite impunerea unor valori diferite ale erorii în diferite benzi de frecvenţă (de exemplu, în benzile de trecere şi oprire ale filtrului).

În particular, este convenabil a normaliza W(ω) la unitate în banda

de oprire şi a impune p

sWδδ

ω =)( în banda de trecere, adică

=

=opriredebandaîn,1

treceredebandaîn,)(

ω

ωδδ

ω p

sKW (2.172)

Astfel, cu relaţia (2.153) şi considerând eroarea E(ω) )()()( ωωω RdR HHE −= , (2.173)

eroarea ponderată Ep(ω) va fi

−== )(

)()(

)()()()()( ωωω

ωωωωω PQ

HQWEWE dRp (2.174)

Notând

)()(

)(ˆ şi )()()(ˆωω

ωωωωQ

HHQWW dRdR == (2.175)

eroarea ponderată devine )]()(ˆ)[(ˆ)( ωωωω PHWE dRp −= (2.176)

Pentru un filtru FIR cu fază liniară de tipul 1, pentru care Q(ω)=1, semnificaţia termenilor introduşi este

)()(ˆ ; )()( ; )()(ˆ ωωωωωω WWHPHH RdRdR === (2.177) În cazul aproximării în sens Cebîşev a caracteristicii ideale Hd(ω),

funcţia de transfer de fază zero a filtrului proiectat, HR(ω), trebuie să oscileze ca în figura 2.20, astfel încât minimele şi maximele să fie la egală distanţă de caracteristica ideală.

Eroarea neponderată E(ω) satisface condiţiile [ ][ ] B.O.pentru ,)(

B.T.pentru ,)(

∈−∈

∈−∈

ωδδω

ωδδω

ss

pp

EE

(2.178)

Eroarea ponderată Ep(ω) va avea extremele în banda de trecere

( ) spp

sexpE δδ

δδ

ω ±=±=)(, (2.179)

Page 436: Prelucrarea digitala a semnalelor

112

În felul acesta, extremele lui Ep(ω) au aceeaşi valoare în B.T. şi în B.O., proiectarea devenind mai comodă, întrucât un singur parametru, δs, controlează eroarea în cele două benzi.

Figura 2.20 Funcţia de transfer de fază zero şi funcţiile eroare

şi eroare ponderată de tip Cebîşev.

Observaţie. Funcţiile eroare şi eroare ponderată prezintă valori extreme şi la frecvenţele limită ale celor două benzi (ωp, respectiv ωs), ce nu sunt puncte de extrem pentru HR(ω).

Conform relaţiei (2.176), problema aproximării în sens Cebîşev a caracteristicii dorite Hd(ω) poate fi formulată ca problema găsirii coeficienţilor polinomului P(ω), astfel încât să se realizeze minimizarea maximelor modulului erorii ponderate Ep(ω) în benzile de trecere şi oprire efective, adică

; )(maxmin)()(

ωωωα pScoefp EE

n ∈= (2.180)

Page 437: Prelucrarea digitala a semnalelor

113

unde S reprezintă reuniunea benzilor de frecvenţă peste care se face optimizarea. Soluţia acestei probleme aparţine lui Parks şi McClellan care aplică teorema alternanţei, teoremă ce va fi enunţată, fără a fi, însă, şi demonstrată [39].

Teorema alternanţei afirmă următoarele: Dacă P(ω) este o combinaţie liniară de L+1 funcţii cosinusoidale

∑=

=L

nn nP

0

)cos()( ωαω (2.181)

atunci condiţia necesară şi suficientă ca P(ω) să realizeze cea mai bună aproximare în sens Cebîşev a unei funcţii continue )(ˆ ωdRH într-un domeniu compact S aparţinând intervalului [0, π), este ca funcţia eroare ponderată Ep(ω) să prezinte cel puţin L+2 frecvenţe de extrem în S.

Aceasta înseamnă că trebuie să existe L+2 frecvenţe ωi distincte ω1 < ω2 < ω3 < ... < ωL+1 < ωL+2, astfel încât

=+=−=

+

)(max)(2L1,icu )()( 1

ωωωω

ω pSip

ipip

EEEE

(2.182)

Relaţia (2.182) conţine L+2 ecuaţii ce permit, cel puţin teoretic, găsirea celor L+1 coeficienţi αn ai polinomului P(ω) şi, de asemenea, valoarea maximă a modulului erorii ponderate.

Este important a cunoaşte numărul maxim de puncte de extrem ale funcţiei de transfer de fază zero HR(ω) pentru un filtru FIR cu fază liniară, deoarece extremele lui HR(ω) sunt, de asemenea, puncte de extrem şi pentru eroarea Ep(ω). Adăugând la acest număr acele puncte de extrem ale erorii ponderate Ep(ω), ce nu sunt extreme pentru HR(ω), se poate determina numărul maxim de extreme ale erorii Ep(ω).

În continuare se va ilustra procedura de determinare a numărului maxim de extreme pentru filtrul de tipul 1, pentru care

∑=

=L

nnR nH

0

)cos()( ωαω (2.183)

conţinând L+1 = (M+1)/2 funcţii cosinusoidale cos(nω). Funcţia HR(ω) poate fi transformată într-o funcţie polinomială în

cosω de forma

∑=

=n

k

kknn

0)(cos)cos( ωβω (2.184)

Introducând (2.184) în (2.183), rezultă

Page 438: Prelucrarea digitala a semnalelor

114

( ) ( )∑∑∑===

==L

n

nn

n

k

kkn

L

nnRH

0

'

00coscos)( ωαωβαω (2.185)

Numărul de puncte de extrem pentru )(ωRH se obţine din egalarea cu zero a primei sale derivate. Aceasta este

( )( ) ( )∑∑−

=+

=

− +−=−=1

0

'1

1

1' sincos)1(sincos)( L

m

mm

L

n

nn

R mnd

dHωωαωωα

ωω

(2.186) unde s-a efectuat schimbarea de variabilă n-1=m. Notând '

1)1( ++−= mm md α şi revenind apoi la indicele n, derivata devine

( ) ( )∑−

=

=1

0

cossin)( L

n

nn

R dd

dHωω

ωω

(2.187)

Efectuând în (2.187) schimbarea de variabilă ω= cosx (2.188)

derivata se poate scrie sub forma

)()()()(

21 xFxFxFd

dH R ==ω

ω (2.189)

unde

∑−

=

=−=1

02

21 )( ; 1)(

L

n

nn xdxFxxF (2.190)

Derivata se anulează în punctele în care se anulează funcţiile F1(x) şi F2(x). Funcţia F1(x) se anulează în punctele x=1 şi x=-1 care sunt corespondentele punctelor ω=0 şi ω=π prin transformarea (2.188). Funcţia F2(x), fiind o funcţie polinomială de gradul L-1, poate avea cel mult L-1 zerouri reale în intervalul x∈(-1, 1). Aceasta înseamnă că HR(ω) poate avea cel mult L+1 puncte de extrem în intervalul închis ω∈[0, π]. Pentru filtrul FIR cu fază liniară de tipul 1, numărul de extreme ale funcţiei HR(ω) în intervalul ω∈[0, π], notat cu Ne trebuie să satisfacă relaţia

211

211 +

=+−

=+≤MMLNe (2.191)

Raţionând în mod similar pentru filtrele de tipul 2, 3 şi 4, rezultă

Page 439: Prelucrarea digitala a semnalelor

115

3 tipulde filtrelepentru 2

1N

4 şi 2 tipulde filtrelepentru 2

e−

M

MNe

(2.192)

Din figura 2.20, se poate constata că dacă aproximarea Cebîşev este realizată într-o reuniune de benzi din intervalul închis ω∈[0, π], atunci funcţia eroare poate avea câte un extrem la fiecare margine de bandă, care însă nu este extrem pentru HR(ω). Există o excepţie de la această regulă în situaţia în care marginile benzilor sunt în ω=0 sau în ω=π, unde HR(ω) are de obicei extrem. Astfel, se poate afirma că funcţia eroare pentru un filtru trece jos cu răspuns finit la impuls de tipul 1, cu benzile de interes [0, ωp] şi [ωs, π] va avea maximum (M+1)/2 + 2 = (M+5)/2 = L+3 extreme, în timp ce pentru un filtru trece bandă, tot de tipul 1, cu domeniul de aproximare S=[0, ωs1]∪[ωp1, ωp2]∪[ωs2, π], funcţia eroare va avea (M+1)/2 + 4 = (M+9)/2 = L+5 extreme. Filtrele în a căror proiectare intervin mai mult de L+2 alternări se numesc filtre extra riplu, iar când în proiectare se consideră numărul maxim de alternanţe, filtrul se numeşte filtru cu riplu maximal.

Cunoaşterea numărului maxim de puncte de extrem ale funcţiei eroare este importantă, deoarece procedurile de proiectare a filtrelor optimale diferă în funcţie de numărul de extreme pe care îl realizează, număr ce trebuie să fie cel puţin egal cu cel solicitat de teorema alternanţei, L+2, şi cel mult egal cu numărul maxim posibil. În cazul filtrului trece bandă de tipul 1, cu benzile menţionate mai sus, numărul de puncte de extrem poate fi L+2, L+3, L+4 sau L+5, L+1 fiind numărul de coeficienţi ai lui P(ω).

Există mai multe proceduri de proiectare a filtrelor optimale, dintre care cea mai folosită este metoda de schimb Remez, ce va fi prezentată în continuare.

Teorema alternanţei reprezintă suportul teoretic al acestei metode şi garantează unicitatea soluţiei problemei de aproximare Cebîşev.

Se alege un set iniţial de frecvenţe presupuse a fi cele L+2 frecvenţe de extrem ale funcţiei eroare ponderată Ep(ω). În funcţie de filtrul proiectat, unele din aceste frecvenţe au o localizare bine precizată. De pildă, în cazul unui filtru trece jos de tipul 1, din setul iniţial vor face parte frecvenţele: 0, ωp, ωs, π (ca figura 2.20). La frecvenţele setului iniţial eroarea ponderată, dată de relaţia (2.176), este forţată să aibă valoarea maximă δ=δs, semnul alternând conform relaţiei

Page 440: Prelucrarea digitala a semnalelor

116

( ) 1L 0,kpentru 1)]()(ˆ)[(ˆ +=−=− δωωω kkkdRk PHW (2.193)

Aceste L+2 ecuaţii cu L+2 necunoscute (αn, cu n=0,...,L şi δ) pot fi exprimate în forma

( ) 1L 0,kcu )(ˆ)(

1)( +==−+ kdRk

kk H

WP ω

ωδ

ω (2.194)

sau, echivalent

( ) ( ) 1L 0,kcu )(ˆ)(ˆ1cos

0+==−+∑

=kdR

L

n k

kkn H

Wn ω

ωδωα (2.195)

În formă matriceală sistemul liniar (2.195) se scrie

( )

( ) ( )

( ) ( )

( ) ( )

( )( )

( )( )

=

+

+

+

++

1

1

0

1

0

1

1

11

111

000

ˆˆ

.

.

.

ˆˆ

.

.

.

)(ˆ1)cos(...cos1

)(ˆ1)cos(...cos1

.......

.......

.......)(ˆ

1)cos(...cos1

)(ˆ1)cos(...cos1

LdR

LdR

dR

dR

L

L

L

LL

L

L

LL

HH

HH

WL

WL

WL

WL

ωω

ωω

δα

αα

ωωω

ωωω

ωωω

ωωω

(2.196)

Iniţial, nu se cunosc nici frecvenţele de extrem ωk, nici parametrii αn şi δ. Aflarea acestora cu ajutorul algoritmului Remez începe prin a presupune un set de frecvenţe de extrem ωk. Determinarea coeficienţilor αn prin rezolvarea sistemului (2.196) ar fi utilă dacă, într-adevăr, toate frecvenţele ωk presupuse ar fi frecvenţe de extrem ale erorii, ceea ce, evident, nu este adevărat. Din acest motiv se determină din sistem doar parametrul δ rezultat din forţarea funcţiei HR(ω) de a trece prin cele L+2 puncte de coordonate precizate. Din sistem rezultă δ cu regula lui Cramer

( )

( )( )

,

ˆ1

ˆ

1

0

1

0

∑+

=

+

=

−=

∆∆

=L

k k

k

k

L

kkdRk

W

H

ωγ

ωγδδ (2.197)

Page 441: Prelucrarea digitala a semnalelor

117

γk fiind minorii cu tot cu semn ai determinantului principal ∆, dezvoltat după ultima coloană.

Notând cu ∆1 determinantul primei matrice din membrul stâng al relaţiei (2.196), la care ultima coloană a fost înlocuită prin coloana

[cos((L+1)ωo), cos((L+1)ω1),...cos((L+1)ωL+1)]T şi ţinând cont de faptul că minorii γk şi ∆1 pot fi aduşi la o formă Vandermonde, se poate scrie [28]

( )∏+

≠= −

=∆

1

01 coscos1L

kii ik

k Cωω

γ (2.198)

unde C este o constantă. Împărţind prin C∆1 numărătorul şi numitorul fracţiei (2.197) şi folosind notaţia

( )∏+

≠= −

=∆

=1

01 coscos1L

kii ik

kk C

aωω

γ (2.199)

parametrul δ devine

( )

( )( )∑

∑+

=

+

=

−=

1

0

1

0

ˆ1

ˆ

L

k k

k

k

L

kkdRk

Wa

Ha

ω

ωδ (2.200)

După calcularea lui δ ar trebui evaluate valorile lui P(ω) şi, deci, Ep(ω) pe tot domeniul de aproximare S pentru a verifica dacă setul de frecvenţe ωk ales iniţial reprezintă punctele de extrem ale erorii Ep(ω). Evaluarea lui P(ω) ar necesita calculul coeficienţilor αn din (2.196), operaţie dificilă şi, în acelaşi timp, inutilă deoarece este puţin probabil ca prima alegere a celor L+2 frecvenţe ωk să fie cea mai bună. Evaluarea rapidă a lui P(ω) se poate face pe o altă cale, ştiind că P(ω)cosω=x este un polinom de ordinul L în x, unic determinat de restricţia de a trece prin L+1 puncte de coordonate precizate. Expresia analitică a acestuia poate fi determinată cu ajutorul metodei de interpolare Lagrange.

Polinomul ∑=

=L

n

nn xxP

0')( α este unic determinat de condiţia ca

funcţia polinomială să treacă prin L+1 puncte de coordonate (xk, P(xk)) cu k=0, ... ,L. Expresia analitică a lui P(x) ce satisface această restricţie este [48]

Page 442: Prelucrarea digitala a semnalelor

118

( ) ∏∑

≠=

=

=

−=

−=

L

kii ik

kL

k k

k

L

k k

kk

xxxx

xxxP

xP0

0

0 1cu

)(

ββ

β

(2.201)

Relaţia (2.201) este valabilă în cazul de faţă cu particularizările kkxx ω=ω= cos ; cos

( ) L 0,kcu )(ˆ1)(ˆ)( =−−=

k

kkdRk W

HPωδωω (2.202)

Expresia (2.201) este cunoscută sub denumirea de formula de interpolare a lui Lagrange în formă baricentrică. După evaluarea lui δ, pentru determinarea unică a lui P(ω) sunt necesare numai L+1 puncte, în loc de L+2 puncte.

Evident, din condiţiile (2.194), P(ω) trece şi prin al (L+2)-lea punct, neluat în considerare în forma baricentrică (2.201). Evaluarea lui Ep(ω) dat de (2.176), pe domeniul S, se realizează utilizând pentru P(ω) forma baricentrică (2.201), precum şi un set dens de frecvenţe ωs∈S. Ca regulă generală, se apreciază că se realizează o bună evaluare a lui Ep(ω) dacă setul de frecvenţe ωs∈S conţine 8M frecvenţe, adică de 16 ori gradul lui P(x).

După această evaluare se constată că nu toate frecvenţele ωk ale setului iniţial sunt extreme pentru Ep(ω), în sensul că valorile maxime absolute ale lui Ep(ω) sunt mai mari decât δ, exceptând frecvenţele ω=0, ω=π, ω=ωp şi ω=ωs, aşa cum se observă în figura 2.21.

În această situaţie se localizează noile puncte de extrem ale lui Ep(ω), notate )2(

kω pe figură (cele ale setului iniţial au fost notate )1(kω ).

Pentru acest nou set de frecvenţe de extrem se repetă etapele parcurse, determinând δ (2) şi noile valori Ep

(2)(ωs) etc. Procedura este repetată până când punctele )(i

kω devin cu adevărat extremele lui Ep(ω), ceea ce se traduce printr-o modificare nesemnificativă a poziţiei frecvenţelor de extrem în noul ciclu, adică

( ) ( ) 1L 0,kpentru 1 +=≤−+ εωω ik

ik (2.203)

unde ε este o abatere foarte mică impusă la proiectare. În eventualitatea că Ep(ω) are după una din iteraţii mai mult de

L+2 puncte de extrem, atunci se reţin după iteraţia următoare acele L+2 frecvenţe la care Ep(ω) are cele mai mari valori (în valoare absolută).

Page 443: Prelucrarea digitala a semnalelor

119

Astfel, în cazul proiectării unui FTJ de tipul 1, numărul maxim de extreme ale funcţiei Ep(ω) fiind L+3, al (L+3)-lea extrem, cu valoarea cea mai mică va fi eliminat.

Figura 2.21 Funcţia eroare ponderată, forţată să ia valorile ± δ(1) la frecvenţele ωk

(1) cu k = 0, …L+1 = 8

În general, sunt necesare 4 până la 8 iteraţii, pentru a obţine soluţia

optimă în cazul proiectării unui FTJ. În proiectările de filtre cu mai multe benzi de trecere şi oprire, numărul de iteraţii este mai mare, fiind necesare de două până la trei ori mai multe iteraţii faţă de proiectarea unui FTJ.

Cu relaţiile (2.153) şi (2.48) se calculează eşantioanele H[k], după care, aplicând transformarea Fourier inversă discretă, se găseşte secvenţa

[ ] kHnh -1F=][ (2.204) Algoritmul iterativ Remez parcurge următoarele etape:

1. Se alege un set iniţial de L+2 puncte de extrem ω(1)=ω0(1), ω1

(1), ..., ωL+1

(1) aparţinând domeniului de aproximare S. 2. Se determină valoarea δ(1) prin rezolvarea sistemului liniar (2.193)

ce forţează eroarea ponderată să ia în cele L+2 puncte această valoare, cu semnul alternând de la un punct de extrem la altul.

3. Se evaluează )( kP ω la frecvenţele alese şi apoi se calculează )(ωP prin interpolare Lagrange, după care se evaluează eroarea

ponderată )()1( ωpE , reţinând pentru noul ciclu de iteraţie cele L+2 frecvenţe de extrem unde eroarea înregistrează cele mai mari extreme în valoare absolută, cu condiţia ca semnul erorii să alterneze în punctele selectate.

Page 444: Prelucrarea digitala a semnalelor

120

4. Dacă ωk(i+1) - ωk

(i) ≤ ε pentru orice k=0, 1,..., L+1 (ε fiind o abatere foarte mică impusă la proiectare), atunci procesul iterativ este oprit, trecând la etapa a 5-a. În caz contrar, se alege noul set de frecvenţe de extrem ω(i+1) şi se reiau etapele 2 şi 3.

5. Se calculează coeficienţii h[n] ai filtrului. Prin alegerea setului de frecvenţe la iteraţia (i+1) ca fiind

frecvenţele în care se obţin valorile de extrem ale erorii rezultante la iteraţia (i), eroarea δ(i+1) va creşte faţă de δ(i), iar în final va converge către limita sa superioară ce corespunde soluţiei aproximării de tip minimax.

2.7.1. Relaţii pentru estimarea lungimii filtrului În practică numărul de coeficienţi ai filtrului este necunoscut. În

general, nu pot fi stabilite relaţii analitice între lungimea filtrului proiectat, M, şi datele impuse la proiectare referitoare la frecvenţele limită ωp şi ωs precum şi la riplurile permise în cele două benzi: δp, respectiv δs. Totuşi, pe baza rulării a numeroase exemple, au fost stabilite câteva formule empirice pentru stabilirea ordinului filtrului optimal în funcţie de performanţele dorite. Estimarea lungimii unui FTJ (Hermann [17])

( ) ( ) 1,

,+∆−

∆= ∞ ff

fD

M spsp δδ

δδ (2.205)

unde ∆f este lăţimea benzii de tranziţie, normalizată cu frecvenţa de eşantionare.

( )[ ]6105

2104

31022

10110

log)(log

]log)(log[log,

aaa

aaaD

pp

ppssp

+++

+++=∞

δδ

δδδδδ

]log[log51244,001217,11),( 1010 spspf δδδδ −+=

1

61

51

4

13

22

31

10278,4;10941,5;1066,2

;10761,4;10114,7;10309,5−−−

−−−

⋅−=⋅−=⋅−=

⋅−=⋅=⋅=

aaaaaa

pδ este riplul sau deviaţia în banda de trecere şi sδ este riplul sau deviaţia în banda de oprire. Estimarea lungimii unui FTB (Mintzer şi Liu [43])

1),(),(

+∆+∆

= ∞ fgf

CM sp

sp δδδδ

(2.206)

Page 445: Prelucrarea digitala a semnalelor

121

unde

]log)(log[

]log)(log[log),(

61052

104

31022

10110

bbb

bbbC

pp

ppssp

+++

+++=∞

δδ

δδδδδ

9,16log6,14),( 10 −

−=

s

pspg

δδ

δδ

44314,0;5705,0;00203,0;51325,0;09664,0;01201,0

654

321

−=−==−===

bbbbbb

şi ∆f este lăţimea benzii de tranziţie, normalizată cu frecvenţa de eşantionare.

2.7.2. Proiectarea diferenţiatoarelor FIR prin metoda Remez Diferenţiatoarele sunt folosite în multe sisteme analogice şi digitale pentru a obţine derivata unui semnal. Un diferenţiator ideal are răspunsul în frecvenţă proporţional cu frecvenţa. Răspunsul în frecvenţă al unui diferenţiator digital ideal este

πωπωω ≤≤−= ,)( jH d (11.207) Răspunsul la impuls corespunzător lui )(ωdH este

0,

cos21)(

21][

≠∞<<∞−

=== ∫∫ −−

nnn

ndejdeHnh njnjdd

πωωπ

ωωπ

π

π

ωπ

π

ω

; (11.208)

Se observă că diferenţiatorul ideal are răspunsul la impuls antisimetric, adică ][][ nhnh dd −−= şi, deci, 0]0[ =dh . Ca urmare, se vor considera filtrele FIR de fază liniară de tipul 3 şi 4 prezentate anterior, pentru care h[n]=-h[M-1-n]. Ambele tipuri de filtre satisfac condiţia

0)0( =RH , pe care trebuie să o îndeplinească diferenţiatorul. Este imposibil de obţinut un diferenţiator pentru toată banda cu un

filtru FIR cu număr impar de coeficienţi, deoarece 0)( =πRH . În practică aceste diferenţiatoare de bandă largă sunt foarte rar folosite. În cele mai multe cazuri, caracteristica răspunsului dorit în frecvenţă trebuie să fie liniară numai într-un domeniu limitat de frecvenţe, pfπω 20 ≤≤ , unde pf este lăţimea de bandă a diferenţiatorului. În domeniul πωπ ≤≤pf2 , răspunsul dorit poate fi neindicat sau se impune să fie zero.

Page 446: Prelucrarea digitala a semnalelor

122

În proiectarea diferenţiatorului FIR pe baza criteriului de aproximare Cebîşev, funcţia de ponderare W(ω) este specificată ca fiind

ω

ω 1)( =W pfπω 20 ≤≤ (11.209)

pentru ca riplul relativ în B.T. să fie constant. Oricum, funcţia de ponderare W(ω) asigură faptul că eroarea relativă

−=−=

≤≤≤≤ ωω

ωωωδπωπω

)(1max)]()[(max

2020

R

fRf

HHWpp

(11.210)

este fixă în B.T. a diferenţiatorului. Parametrii importanţi ai unui diferenţiator sunt: lungimea M,

lăţimea de bandă pf , mărimea relativă δ a erorii de aproximare. Relaţiile dintre aceşti parametri pot fi reprezentate parametric. În particular, valoarea δ10log20 funcţie de pf cu M parametru este arătată în figura 2.22a pentru M par şi în figura 2.22b pentru M impar. Aceste rezultate, datorate lui Rabiner şi Schafer [53] sunt utile în alegerea lungimii filtrului.

Figura 2.22 Valoarea δ10log20 pentru parametrul a) M par şi b) M impar

Comparând cele două figuri, rezultă că diferenţiatorul pentru M par prezintă o eroare de aproximare semnificativ mai mică decât cea obţinută cu diferenţiatorul cu M impar. Dacă pf >0,45, nu pot fi folosite diferenţiatoarele cu M impar pentru că răspunsul în frecvenţă la

Page 447: Prelucrarea digitala a semnalelor

123

)2/1(, == fπω este zero. Când pf <0,45, se pot folosi şi acestea, dar cu performanţe mai slabe decât diferenţiatoarele cu M par, din punctul de vedere al erorii de aproximare. În concluzie, în practică se preferă diferenţiatoare cu lungime pară. În acest caz însă, întârzierea introdusă de un filtru FIR cu fază liniară este de (M-1)/2, care nu este un întreg pentru M par. În multe aplicaţii practice acest lucru nu este important, dar acolo unde este, se foloseşte M impar. Se poate proiecta un diferenţiator cu fază liniară şi răspuns finit la impuls şi folosind metoda eşantionării în frecvenţă.

2.7.3. Proiectarea transformatorului Hilbert (T.H.)

Un transformator Hilbert ideal este un filtru trece tot care introduce o deplasare cu 090 a fazei componentelor spectrale ale semnalului de la intrare. Răspunsul în frecvenţă al unui T.H. ideal este

<<−≤<−

=0,

0,)(

ωππω

ωj

jH d (2.211)

Transformatorul Hilbert este frecvent folosit în sisteme de comunicaţii şi procesarea de semnal, ca de exemplu în generarea semnalelor modulate cu bandă laterală unică, procesarea semnalului radar, procesarea semnalului vocal. Răspunsul la impuls al unui T.H. ideal este dat de relaţia

=

≠=

=−== ∫ ∫∫−−

0,0

0,)2/(sin2

)(21)(

21][

2

0

0

n

nn

n

djedjedeHnh njnjnjdd

ππ

ωωπ

ωωπ π

πωω

π

π

ω

(2.212)

Aşa cum era de aşteptat, [ ]nhd este infinit în durată şi necauzal. Se observă că [ ]nhd este antisimetric, situaţie în care partea reală a răspunsului în frecvenţă este 0)( =ωRH la ω=0 , atât pentru M impar, cât şi pentru M par şi la πω = , pentru M impar.

Din cele prezentate rezultă că este imposibil a se proiecta un T.H. digital trece tot. Din fericire, în aplicaţiile practice de procesare de semnal, nu este necesar un T.H. trece tot. Lăţimea de bandă trebuie să acopere numai banda semnalului ce trebuie defazat. În consecinţă, răspunsul de fază zero dorit este

Page 448: Prelucrarea digitala a semnalelor

124

1)( =ωdRH ul ff πωπ 22 ≤≤ (2.213) unde lf şi uf sunt, respectiv, frecvenţele de tăiere inferioară şi superioară. Răspunsul la impuls ][nhd al T.H. ideal, conform relaţiei (2.212), este zero pentru n par. În proiectare, această proprietate se foloseşte împreună cu condiţiile de simetrie. Fie, în particular, filtru FIR de tipul 3, pentru care

∑−

=

=2/)1(

1)sin(][)(

M

nR nncH ωω (2.214)

şi se presupune că lf =0,5- uf . Aceasta asigură o B.T. simetrică faţă de frecvenţa de mijlocul domeniului de frecvenţă f=0,25. Dacă există această simetrie în răspunsul în frecvenţă, )()( ωπω −= RR HH şi relaţia (2.214) devine

∑ ∑

∑ ∑−

=

=

+

=

=

−=−=

=−=

2/)1(

1

2/)1(

1

1

2/)1(

1

2/)1(

1

sin)1]([cossin][

)(sin][)sin(][

M

n

M

n

n

M

n

M

n

nncnnnc

nncnnc

ωπω

ωπω (2.215a)

sau, echivalent

0sin][])1(1[2/)1(

1

1 =−−∑−

=

+ nncM

n

n ω (2.215b)

adică ][nc trebuie să fie egal cu zero pentru n = 0, 2, 4... Relaţia între ][nc şi răspunsul la impuls h[n] este

−= nMhnc

212][ (2.216a)

sau, echivalent

][21

21 ncnMh =

− (2.216b)

Dacă ][nc este egal cu zero pentru n = 0, 2, 4 atunci (2.216b) devine

−=−=

=impar2/)1(pentru...,5,3,1,0

par2/)1(pentru...,4,2,0,0][

MnMn

nh (2.217)

Din nefericire, relaţia (2.217) este valabilă numai pentru M impar, nu şi pentru M par. Aceasta înseamnă că pentru valori comparabile pentru M, este preferabil cazul cu M impar, deoarece complexitatea calculului este aproximativ pe jumătate faţă de M par. Când proiectarea T.H. este

Page 449: Prelucrarea digitala a semnalelor

125

realizată pe baza criteriului de aproximare Cebîşev, folosind algoritmul Remez, se selectează coeficienţii filtrului pentru a minimiza eroarea maximă de aproximare. )](1[max)]()([max

2222ω−=ω−ω=δ

π≤ω≤ππ≤ω≤π RffRdRffHHH

ulul

, (2.218)

adică funcţia de ponderare s-a luat egală cu unitatea şi optimizarea s-a realizat pe o singură bandă de frecvenţă, (banda de trecere a filtrului). Rabiner şi Schafer [53] au studiat caracteristicile T.H. pentru M par şi M impar. Dacă proiectarea filtrului este limitată la un răspuns în frecvenţă simetric, există trei parametri de interes , M , δ , lf . În figura 2.23 s-a reprezentat δ10log20 funcţie de lf şi M-parametru.

Se observă că pentru valori comparabile ale lui M, nu există avantaje ale performanţelor obţinute pentru M par sau impar, dar complexitatea calculelor în implementarea filtrului cu M impar este de aproximativ două ori mai scăzută decât pentru M par. Aşadar, M impar este preferabil în practică.

Există o relaţie aproximativă de estimare a unuia din cei trei parametri ai filtrului, când sunt specificaţi ceilalţi [48]

δ10log61,0−=lMf (2.219)

Figura 2. 23 Valoarea δ10log20 pentru diverşi parametri M reprezentată în funcţie de

lăţimea benzii de tranziţie

Page 450: Prelucrarea digitala a semnalelor

126

T.H. poate fi, de asemenea, proiectat folosind metoda ferestrelor sau a eşantionării în frecvenţă. Diferenţele ce apar între coeficienţii obţinuţi sunt mici, dar metoda optimală dă rezultatele cele mai bune în proiectare.

Page 451: Prelucrarea digitala a semnalelor

127

CAPITOLUL 3

PROIECTAREA FILTRELOR DIGITALE CU RĂSPUNS INFINIT LA IMPULS

Filtrele digitale cu răspuns infinit la impuls (RII), ce vor fi denumite în continuare filtre IIR (Infinite Impulse Response), constituie blocuri importante în multe sisteme de prelucrare numerică a semnalelor. Ele sunt recomandate în situaţiile în care trebuie realizate benzi de tranziţie foarte înguste, precum şi atunci când sunt necesare atenuări foarte mari în banda de oprire. Deoarece prezintă reacţie, filtrele IIR necesită mai puţine celule de întârziere, preţul plătit fiind neliniaritatea fazei şi eventuale probleme de stabilitate.

3.1. Introducere

Un filtru IIR poate fi caracterizat în domeniul timp prin ecuaţia cu diferenţe

[ ] [ ] [ ]∑∑==

−+−−=M

kk

N

kk knxbknyany

01 (3.1)

Aplicând transformată Z ecuaţiei (3.1), rezultă

)()()(01

zXzbzYzazY kM

kk

N

k

kk

==

− ∑∑ +−= (3.2)

Funcţia de transfer a filtrului este

)()(

1)(

1

0

zAzB

za

zbzH N

k

kk

M

k

kk

=+

=

=

=

(3.3)

Impunând în relaţia (3.1) intrarea ][][ nnx δ= , se obţine răspunsul la impuls al filtrului IIR cauzal

Page 452: Prelucrarea digitala a semnalelor

128

[ ]

<

>−−

∈−−

= ∑

=

=

0,0

],[

],0[],[

1

1

n

Mnknha

Mnknhab

nhN

kk

N

kkn

(3.4)

În continuare filtrele vor fi considerate stabile, adică răspunsul lor la impus este absolut sumabil [63]

∑∞

=

∞<0

][n

nh (3.5)

condiţie care, în planul Z conduce la necesitatea ca cercul unitate să fie inclus în domeniul de convergenţă. Dacă se impune şi condiţia de cauzalitate pentru filtru, care, în domeniul Z conduce la necesitatea ca regiunea de convergenţă să fie exteriorul unui cerc, rezultă că toţi polii filtrului cauzal şi stabil se situează în interiorul cercului unitate. Răspunsul la impuls al filtrului poate fi calculat şi ca transformata Z inversă a funcţiei de sistem [63]

∫ −− ==C

n dzzzHj

zHZnh 11 )(21)(][π

(3.6)

unde C este un contur închis în planul complex, parcurs în sens orar, care conţine originea. Prin evaluarea funcţiei de transfer )(zH pe cercul unitate, se obţine răspunsul în frecvenţă al filtrului

)(

1

0 )(1

)( ωθ

ω

ω

ωω jN

k

jkk

M

k

jkk

eHea

ebH =

+=

=

=

(3.7)

Răspunsul de modul al filtrului este

1,)()(

)( 0 == aAB

Hωω

ω (3.8)

Aşa cum a fost prezentat în Capitolul 1, polii funcţiei de transfer vor determina maxime ale răspunsului în frecvenţă, cu atât mai pronunţate, cu cât se află mai aproape de cercul unitate, iar zerourile vor determina minime, eventual anulări ale răspunsului în frecvenţă, dacă se află pe

Page 453: Prelucrarea digitala a semnalelor

129

cercul unitate. Ca urmare, filtrele IIR permit realizarea unor maxime ascuţite, benzi de trecere foarte înguste şi, la fel, benzi de tranziţie foarte înguste. Asemenea performanţe s-ar putea realiza şi cu filtre FIR, dar cu preţul unor lungimi foarte mari. Un dezavantaj al filtrelor IIR îl constituie faptul că aceste filtre nu pot avea faza perfect liniară. Caracteristica de fază a filtrului poate fi scrisă ca

)()()( ωθωθωθ AB −= (3.9) unde )(ωθ A şi )(ωθ B reprezintă argumentele funcţiilor )(ωA şi )(ωB . În capitolul precedent s-a arătat că funcţia de sistem a unui filtru de fază liniară prezintă o formă de simetrie a zerourilor sale, în sensul că aceasta are zerourile în perechi reciproce, iz şi 1−

iz . Dacă zerourile numărătorului funcţiei de transfer prezintă o astfel de simetrie, faza acestuia este perfect liniară. Pentru ca filtrul să aibă faza liniară, ar trebui ca şi faza numitorului să fie liniară, dar acest lucru nu este posibil, deoarece prezenţa rădăcinilor reciproce la numitor nu mai asigură stabilitatea filtrului cauzal. Metodele de proiectare ale filtrelor IIR sunt de două feluri: - Metode indirecte, în care un filtru analogic prototip este transformat în echivalentul lui numeric; - Metode directe, în care coeficienţii filtrului se determină pe baza minimizării unui criteriu de eroare. În Capitolul 1 s-a arătat necesitatea îndeplinirii condiţiei M≤N, unde M este gradul numărătorului, iar N, gradul numitorului. Dacă M>N, filtrul poate fi considerat ca fiind format prin conectarea în cascadă a unui filtru IIR de ordin N cu un filtru FIR de ordin M – N. Toate tehnicile de proiectare a filtrelor IIR pornesc de la premiza M≤N, ordinul filtrului fiind, deci, egal cu numărul de poli ai funcţiei de sistem H(z).

3.2. Proiectarea indirectă a filtrelor IIR

3.2.1. Specificarea performanţelor Procedura cel mai frecvent utilizată pentru proiectarea unui filtru digital IIR constă în transformarea unui filtru analogic într-unul digital, cu performanţe echivalente. Această abordare prezintă avantajul utilizării cunoştinţelor şi metodelor folosite în proiectarea filtrelor analogice.

Page 454: Prelucrarea digitala a semnalelor

130

Metoda indirectă se bazează pe existenţa unor transformări care conservă proprietăţile selective ale modelului analogic, transformând un filtru optimal analogic într-altul optimal digital. Pornind de la specificaţiile referitoare la performanţele filtrului digital, proiectarea acestuia necesită parcurgerea următoarelor etape:

1. Transformarea specificaţiilor dorite a fi realizate de către filtrul digital în specificaţiile impuse filtrului analogic prototip;

2. Obţinerea funcţiei de transfer a filtrului analogic prototip astfel încât să fie satisfăcute specificaţiile impuse filtrului digital;

3. Transformarea funcţiei de transfer a filtrului analogic în funcţia de transfer echivalentă a filtrului digital. La proiectarea filtrelor digitale de tip FIR sau IIR specificarea

performanţelor se referă la abaterile maxime ale caracteristicii de modul în benzile de trecere (B.T.) şi oprire (B.O.), precum şi frecvenţele limită ale acestor benzi. La proiectarea clasică a filtrelor analogice, unii dintre aceşti parametri de performanţă sunt definiţi puţin diferit. Astfel, în mod uzual, la filtrele analogice, prin convenţie, se consideră că în zona de trecere efectivă caracteristica de modul variază între valoarea maximă 1 şi valoarea minimă 1 – ∆p, în timp ce în zona de oprire, variază între 0 şi ∆s, ca în figura 3.1a.

Figura 3.1. Specificarea performanţelor pe caracteristica de modul a funcţiei de transfer a

filtrului trece jos (a) analogic şi (b) digital

Pentru filtrele digitale FIR sau IIR se notează cu δp, respectiv δs , abaterile caracteristicii de modul a funcţiei de transfer de la valorile sale nominale (1 în B.T., 0 în B.O.) astfel că acest răspuns în frecvenţă oscilează între 1+δp şi 1–δp, în B.T. respectiv între 0 şi δs în B.O., ca în figura 3.1b. Frecvenţele menţionate în figura 3.1a au următoarele semnificaţii: Ωp, Ωs, Ωc reprezintă, în ordine, frecvenţa de trecere efectivă, frecvenţa de

Page 455: Prelucrarea digitala a semnalelor

131

oprire efectivă şi frecvenţa de tăiere pentru filtrul analogic, exprimate în radiani/s. Frecvenţele unghiulare ωp, ωs, ωc din figura 3.1.b au aceleaşi semnificaţii pentru filtrul digital (doar că sunt normate în raport cu frecvenţa de eşantionare sF ). Relaţia între frecvenţe din domeniul analogic şi digital este dată de [63]

ss F

TFFfT 1;; ==Ω=ω (3.10)

ceea ce implică

[ ] [ ]ππω ,5,0;5,02

,2

−∈⇔−∈⇔

−∈ fFFF ss (3.11)

Parametrii din figura 3.1a pot fi exprimaţi în funcţie de cei din figura 3.1b, şi invers. Relaţia dintre frecvenţele analogice, Ω, şi discrete, ω, depinde de corespondenţa dintre planele s şi Z, pe când convertirea valorilor de pe ordonată presupune normarea celor din fig.3.1b cu 1+δp, şi apoi identificarea cu cele din figura 3.1a (sau multiplicarea cu 1+δp, a celor din figura 3.1a). Rezultă astfel

p

ss

p

pp δ

δδδ

+=∆

+=∆

1;

12

(3.12)

respectiv

p

ss

p

pp ∆−

∆=

∆−

∆=

22

;2

δδ (3.13)

De obicei, aceste performanţe sunt date în dB, sub forma variaţiei maxime a atenuării în banda de trecere efectivă şi a atenuării minime în banda de oprire efectivă,

( )

sp

sss

pp

pp

A

A

∆−≅∆−∆

−=−=∆

∆−−=−

+=∆

lg202

2lg20lg20

1lg2011

lg20

δ

δδ

(3.14)

Prezentarea pe scurt a filtrelor trece jos analogice de tip Butterworth, Bessel, Cebîşev şi eliptice este dată în Anexa 3a. Funcţia de transfer de ordinul N a unui filtru analogic este [12]

Page 456: Prelucrarea digitala a semnalelor

132

( ) ( )( ) MN

s

s

sAsBsH N

i

ii

M

i

ii

a >==

=

= ;

0

0

α

β (3.15)

în care ii βα , sunt coeficienţii funcţiei de transfer. Aceasta este legată de răspunsul la impuls prin transformata Laplace

( ) ( ) dtethsH sta ∫

∞−

−= (3.16)

Filtrul analogic poate fi descris şi de ecuaţia diferenţială cu coeficienţi constanţi

( ) ( )∑ ∑= =

=N

i

M

ik

k

ik

k

i dttxd

dttyd

0 0βα (3.17)

Răspunsul în frecvenţă, Ha(jΩ), se obţine prin evaluarea funcţiei de transfer pe axa imaginară a planului s. Proiectarea unui filtru analogic constă în determinarea coeficienţilor ii βα , care conduc la minimizarea unui criteriu de eroare dintre funcţia dorită şi cea realizată sau, altfel spus, între funcţia de aproximat şi funcţia dorită. De obicei, se lucrează cu ( ) 2ΩjH a (şi nu cu

( )ΩjH a ) pentru că prima are coeficienţi reali.

( ) ( ) ( )( )

( )( ) ( ) ( )

( )2

2

22

2

sGsE

sjH

sAsB

sAsBsHsH aaa −

−=

−=ΩΩ=

−−

=− (3.18)

Din (3.18) se observă că singularităţile lui )()( sHsH aa − în planul s sunt simetrice faţă de axa Ωj . Funcţia de transfer a filtrului analogic, Ha(s), se determină astfel: -polii lui Ha(s) sunt zerourile lui G(-s2), localizate în semiplanul stâng, restricţie impusă de stabilitatea filtrului; -zerourile lui Ha(s) se obţin din zerourile lui E(-s2) prin distribuirea acestora din urmă în mod egal între B(s) şi B(-s), fără a separa perechile de zerouri complex conjugate, pentru a obţine Ha(s) cu coeficienţi reali. Determinarea lui B(s) nu este unică. Dacă pentru zerourile lui B(s) se aplică aceeaşi regulă de alocare ca şi în cazul polilor, funcţia de transfer obţinută este de fază minimă. Spre deosebire de poli, zerourile pot fi localizate şi pe axa jΩ.

Page 457: Prelucrarea digitala a semnalelor

133

Fiecare din aceste trei caracterizări echivalente ale unui filtru analogic conduce la metode diferite de conversie a filtrului analogic în unul digital. Pentru ca tehnicile de conversie să fie eficiente, acestea trebuie să îndeplinească următoarele cerinţe:

1. Axa jΩ a planului s să fie transformată în conturul cercului unitate în planul Z, ceea ce va determina o relaţie directă între frecvenţele variabile în cele două domenii.

2. Semiplanul stâng al planului s să fie transformat în interiorul cercului unitate al planul Z, astfel încât filtrelor analogice stabile să le corespundă filtre digitale stabile.

3.2.2. Metoda transformării ecuaţiei diferenţiale

Una din cele mai simple căi de transformare a unui filtru analogic

în unul digital constă în înlocuirea diferenţialelor din expresia (3.17) prin diferenţe finite [28], obţinând astfel ecuaţia cu diferenţe finite care aproximează ecuaţia diferenţială dată.

[ ] [ ] [ ] nxnyny kM

kk

kN

kk

)(

0

)(

1

∆=∆+ ∑∑==

βα (3.19)

unde [ ]nx şi [ ]ny reprezintă secvenţele de intrare şi ieşire ale filtrului digital, iar [ ] nxk )(∆ , [ ] nyk )(∆ reprezintă diferenţele de ordinul k [63] ale acestora, obţinute prin substituţiile următoare:

][)( nytynTta ⇔

=,

( ) [ ] nynTtdt

tyd kka

k)(

)(

∆⇔=

(3.20)

unde )(tya este răspunsul filtrului analogic, T este perioada de

eşantionare, iar ( )

ka

k

dttyd )(

este derivata de ordinul (k) a răspunsului

filtrului analogic în raport cu timpul. Diferenţele înapoi de ordinul 1, respectiv k, sunt date de relaţiile:

[ ] [ ] [ ]

[ ] [ ] [ ] [ ] NkT

nynynyny

Tnynyny

kkkk ,2,1

1

)1()1()1()1()(

)1(

=−∆−∆

=∆∆=∆

−−=∆

−−−

(3.21)

Aplicând transformata Z relaţiilor (3.21), se poate scrie

Page 458: Prelucrarea digitala a semnalelor

134

[ ] ( )

[ ] [ ] ( )zYTznyZ

TznyZ

zYTznyZ

kkk

−=∆

−=∆

−=∆

−−

1)1(

1)(

1)1(

11

1

(3.22)

Aplicând transformata Z ambilor membri ai ecuaţiei cu diferenţe (3.19) şi utilizând transformatele Z ale diferenţelor date de (3.22), se obţine funcţia de transfer a filtrului digital de forma

( )∑

=

=

−+

=N

i

i

i

M

i

i

i

Tz

Tz

zH

1

1

0

1

11

1

α

β (3.23)

Expresia (3.23) arată că obţinerea lui ( )zH din ( )sH a se face prin substituţia

Tzs

11 −−= (3.24)

Diferenţiatorul analogic cu ieşirea dt

tdya )( are funcţia de transfer

ssH =)( , în timp ce sistemul digital care produce ieşirea T

nyny ]1[][ −−

are funcţia de sistem TzzH

11)(−−

= . Corespondenţa dintre cele două

domenii, s şi Z, este dată de relaţia (3.24). Diferenţele [ ] nyk )(∆ evaluate cu relaţiile (3.21) poartă denumirea de diferenţe înapoi. Dacă, în schimb, diferenţele [ ] nyk )(∆ se evaluează cu relaţiile

[ ] [ ] [ ]T

nynyny −+=∆

1)1(

şi [ ] [ ] [ ] T

nynynykk

k)1()1(

)( 1 −− ∆−+∆=∆ , pentru Nk ,2= , (3.25)

acestea poartă denumirea de diferenţe înainte.

Page 459: Prelucrarea digitala a semnalelor

135

Repetând procedura de trecere de la ecuaţiile cu diferenţe finite la funcţia ( )zH utilizând diferenţele înainte, rezultă că aceasta se obţine din

( )sH a prin substituţia

T

zs 1−= (3.26)

Pentru a examina modul în care se transformă planul Z în planul s, şi invers, prin transformările (3.24) şi (3.26) şi dacă sunt satisfăcute cerinţele 1 şi 2, se înlocuieşte în prima relaţie Ω+= js σ . Pentru 0=σ se obţine transformarea axei Ωj

)Im()Re(11

11

101

12222 zjz

TTj

TTjsTz +=

Ω+Ω

+Ω+

=Ω−

==−

(3.27) Eliminând TΩ din Re(z) şi Im(z) se obţine relaţia

22122

2122 )()(Im))(Re(0)(Im)Re()(Re =+−⇔=+− zzzzz (3.28)

sau, echivalent

21

21=−z (3.29)

Astfel, axa Ωj a planului s se transformă în cercul cu centrul în C(1/2,0), de rază 1/2, descris de (3.29) şi reprezentat în figura 3.2.

Figura 3.2. Transformarea planului s (a) în planul Z prin utilizarea diferenţei înapoi (b),

respectiv diferenţei înainte (c) în aproximarea ecuaţiei diferenţiale Pentru a stabili corespondenţa dintre planul s şi planul Z, se înlocuieşte Ω+= js σ în ecuaţia cercului (3.29), rezultând

Page 460: Prelucrarea digitala a semnalelor

136

( ) ( )( ) ( )

21

210Dacă

;11

21

11

21

21

22

22

<−⇒<

Ω+−Ω++

=−+

=−

z

TTTT

sTsTz

σ

σσ

(3.30)

Relaţiile (3.30) evidenţiază faptul că semiplanul stâng al planului s trece în interiorul cercului de rază 1/2 iar semiplanul drept în exteriorul acestui cerc. Cum acest cerc este localizat în interiorul cercului unitate, rezultă că transformarea (3.24) conservă condiţia de stabilitate a filtrului analogic. Transformarea prezentată nu asigură îndeplinirea cerinţei de transformare a axei imaginare a planului s în conturul cercului unitate, însă pentru valori foarte mici ale lui T, o zonă a axei Ωj (pentru care

TΩ este foarte mic) va fi transformată în arcul de cerc situat în jurul lui z=1, care corespunde, în domeniul frecvenţelor discrete, lui 0=Ω= Tω . Astfel, răspunsul în frecvenţă al filtrului analogic se conservă la frecvenţe joase, pe o zonă de frecvenţă cu atât mai întinsă cu cât perioada de eşantionare este mai mică. Ca atare, transformarea dă rezultate relativ bune la discretizarea unui filtru analogic trece jos şi nesatisfăcătoare pentru alte tipuri de filtre. Pentru transformarea (3.26) se poate scrie

;1 sTz += TzTz Ω=+= )Im(;1)Re( σ ; ( ) ( )222 1 TTz Ω++= σ , (3.31) Aceste relaţii evidenţiază faptul că axa Ωj se transformă în dreapta 1)Re( =z ce separă semiplanele 1)Re( <z şi 1)Re( >z care reprezintă imaginile semiplanelor stâng ( )0<σ , respectiv drept ( )0>σ ale planului s, ca în figura 3.2c. Din (3.31) se observă că este posibil ca filtre analogice stabile, cu polii kkk js Ω+= σ cu 0<kσ , să nu se transforme în filtre digitale stabile, dacă

( ) ( ) 11 22 >Ω++ TT kkσ (3.32) Prin urmare, transformarea (3.26) nu satisface cerinţele 1 şi 2, nefiind o metodă generală bună pentru convertirea unui filtru analogic în unul digital. Ca şi în cazul transformării (3.24), caracteristicile de selectivitate sunt conservate doar la TΩ foarte mic (porţiunea din dreapta

1)Re( =z din vecinătatea punctului de tangenţă cu cercul unitate).

Page 461: Prelucrarea digitala a semnalelor

137

3.2.3. Metoda invarianţei răspunsului la impuls

În această metodă se urmăreşte a se proiecta un filtru digital IIR al cărui răspuns la impuls [ ]nh , este versiunea eşantionată, cu perioada T, a răspunsului la impuls al filtrului analogic, )(tha .

)(][ nThnh a= (3.33) Se reaminteşte [63] că dacă un semnal continuu )(txa cu spectrul

)(FX a este eşantionat periodic cu frecvenţa TFs /1= eşantioane pe secundă, spectrul semnalului eşantionat este repetarea periodică a spectrului scalat )(FXF as cu perioada sF , adică

( )∑∞

−∞=

−=n

sa FkfXFfXs

][)( (3.34)

unde sFFf /= este frecvenţa normalizată. Eroarea alias apare dacă frecvenţa de eşantionare sF este mai mică decât dublul celei mai mari frecvenţe, BF , din spectrul )(FX a .

Figura 3.3 Comparaţie între răspunsul la impuls al a) filtrul analogic şi b) filtrul digital

echivalent

Particularizând (3.34) pentru eşantionarea răspunsului la impuls al filtrului analogic cu răspunsul în frecvenţă ( )FH a , filtrul digital care îndeplineşte relaţia (3.33) are răspunsul în frecvenţă

( ) ( )[ ]∑∞

−∞=

−=k

sas FkfHFfH (3.35)

sau, echivalent

Page 462: Prelucrarea digitala a semnalelor

138

( ) ( )[ ]∑∞

−∞=

−=k

sas FkHFH πωω 2 (3.36)

cu TΩ=ω , sau

( ) ∑∞

−∞=

−Ω=Ω

ka T

kHT

TH π21 cu Tπ2

=Ω (3.37)

În figura 3.4 se arată schematic răspunsul în frecvenţă al unui filtru analogic trece jos şi răspunsul filtrului digital corespunzător. Se observă că răspunsul filtrului digital se apropie de cel al filtrului analogic, dacă perioada de eşantionare T este suficient de mică pentru a evita sau minimiza eroarea alias. Se observă, de asemenea, că metoda invarianţei răspunsului la impus nu este potrivită pentru proiectarea de filtre trece sus, din cauza erorii alias ce apare în spectru în urma eşantionării.

Figura 3.4 Răspunsul în frecvenţă ( )ΩaH al filtrului analogic şi cel al filtrului digital

corespunzător cu eroare alias

Observaţie. Dacă ( )ΩaH este de bandă limitată şi satisface condiţia ( ) 0=ΩaH pentru BΩ≥Ω (3.38 ) atunci, prin alegerea unei frecvenţe de eşantionare Bs FF 2≥ , în relaţia (3.37) nu există suprapuneri ale repetărilor adiacente şi, ca atare, se poate scrie

( )

=

TjHTH aωω pentru πω ≤ , (3.39)

Page 463: Prelucrarea digitala a semnalelor

139

adică, nesuprapunerea repetărilor periodice (garantată de condiţia (3.38)) asigură că filtrul digital obţinut prin metoda invariaţiei răspunsului la impuls va avea exact acelaşi răspuns în frecvenţă ca şi filtrul analogic prototip. În realitate funcţiile de transfer ale filtrelor analogice nu satisfac decât cu aproximaţie condiţia (3.38), replici ale spectrului din domeniul fundamental existând pe toată axa frecvenţelor, ceea ce conduce la fenomenul alias, astfel că relaţia (3.39) va fi adevărată cu o anumită aproximaţie. Unul din dezavantajele majore ale acestei metode de discretizare a răspunsului la impuls al filtrului analogic prototip constă în faptul că ea se aplică numai pentru filtrele al căror răspuns la impuls satisface (chiar cu o anumită aproximaţie) condiţia de semnal de bandă limitată (3.38), putând fi aplicată la proiectarea filtrelor trece jos şi trece bandă, nu şi la proiectarea celor trece sus, opreşte bandă şi trece tot.

În proiectarea filtrelor digitale prin metoda invarianţei răspunsului la impuls cerinţele filtrului discret sunt transformate în specificaţii ale filtrului analogic din relaţia (3.39), în ipoteza unei erori alias neglijabile, prin aplicarea relaţiei

T/ω=Ω (3.40) După obţinerea unui filtru analogic potrivit specificaţiilor, acesta este transformat în unul digital cu funcţia de sistem H(z), după o procedură care necesită parcurgerea următoarelor etape: 1. Se descompune ( )sH a în fracţii simple

( ) ∑= −

=N

k k

ka ps

csH

1 (3.41)

2. Se determină ( )tha ca transformata Laplace inversă a lui ( )sH a

( ) ( )∑∑=

=

=

−=

N

k

tpk

k

N

kka tec

psLcth k

1

1

1

1 σ (3.42)

( )tσ fiind semnalul treaptă unitate. 3. Se determină funcţia pondere a filtrului digital prin eşantionarea

periodică a lui )(tha

[ ] ( ) [ ]∑=

==N

k

nTpka nuecnThnh k

1 cu [ ] )(nTnu σ= (3.43)

4. Se calculează funcţia de transfer ( )zH ca transformata Z a secvenţei [ ]nh .

Page 464: Prelucrarea digitala a semnalelor

140

( ) [ ]

( ) .11 0 1

11

0 0 1

∑ ∑ ∑

∑ ∑ ∑

=

= =−

=

=

=

−==

=

==

N

k n

N

kTp

knTpk

n n

nN

k

nTpk

n

zeczec

zecznhzH

kk

k

(3.44)

Efectuând calculele, se obţin coeficienţii ka , kb ai filtrului digital. Comparând (3.41) cu (3.44) se poate spune că ( )zH se obţine din

( )sH a exprimată ca sumă de fracţii elementare prin substituţia

k

k

psc−

→ 11 −− zec

Tpkk

(3.45)

Pentru ( )sH a cu coeficienţi reali, polii complecşi apar în perechi conjugate: kkk js Ω±−= α2,1 . Comoditatea lucrului cu valori reale implică descompunerea în fracţii elementare de ordinul 2 (prin combinarea fracţiilor de ordinul 1 care conţin poli conjugaţi) şi apoi substituirea acestora în ( )zH .

Între transformata Z a secvenţei [ ]nh şi transformata Laplace a semnalului eşantionat există relaţia

( ) [ ] ( ) ( ) .ze

nThLze

enThznhzH sTan

sTsnT

an

n

==

=== ∑∑

−∞=

−∞

−∞=

− (3.46)

Prin urmare, corespondenţa între planele s şi Z în cazul metodei invarianţei răspunsului la impuls este realizată de transformarea

TjTsT eeez Ω== σ (3.47)

Figura 3.5. Transformarea planului s în planul Z prin metoda invariaţiei răspunsului la

impuls.

Page 465: Prelucrarea digitala a semnalelor

141

Particularităţile acestei transformări, evidenţiate în figura 3.5, sunt următoarele:

1. Unui punct 111

ϕjerz = din planul Z îi corespunde în planul s o

infinitate de puncte ZkTk

Tjr

Tsk ∈

++= ,2ln1 1

1πϕ

, situate pe paralela

la ordonată ( ) 1ln1 rT=σ . Aceasta înseamnă că fiecare fâşie a planului s, de lăţime T/2π , acoperă în întregime planul Z.

2. Partea din semiplanul stâng a fiecărei fâşii se transformă în interiorul cercului unitate din planul Z, iar cea din semiplanul drept în exteriorul acestuia.

3. Fiecare interval de pe axa Ωj de forma

( ) ( ) ZkT

kT

k ∈+<Ω≤− ,1212 ππ (3.48)

se transformă în conturul cercului unitate ωjez = cu [ )ππω ,−∈ . Comparând ecuaţia (3.41) cu (3.44), se observă că polul s = pk din planul s se transformă în polul Tpke din planul Z, iar coeficienţii dezvoltării în fracţii simple ai lui Ha(s) şi H(z) sunt egali. Dacă filtrul analogic este stabil, adică Repk < 0 atunci Tpke < 1, deci polul corespunzător din filtrul numeric este în interiorul cercului unitate şi filtrul numeric va fi stabil. În timp ce polii din planul s se transformă în alţi poli în planul Z, conform relaţiei Tp

kkez = , este important de subliniat că procedeul

invarianţei răspunsului la impuls nu corespunde unei simple transformări a planului s în planul Z. În particular, zerourile funcţiei de transfer a filtrului digital sunt funcţie de polii şi coeficienţii ck ai dezvoltării în fracţii simple şi, în general, ele nu se transformă în acelaşi mod ca polii. Metoda invarianţei răspunsului la impuls dă rezultate pentru filtrele T.J. şi T.B. de tip Butterworth, Bessel şi Cebîşev, prezentând avantajul că menţine caracteristica de atenuare şi fază ale filtrului analogic. Observaţie. Uneori, pentru a transforma filtrul analogic ce urmează a fi proiectat într-un sistem de bandă limitată prin reducerea erorii alias, se utilizează un filtru de gardă în cascadă cu cel dorit (acesta fiind un F.T.J. cu atenuare foarte mare în zona de oprire şi constantă în zona de trecere).

Page 466: Prelucrarea digitala a semnalelor

142

Metoda invariaţiei răspunsului la impuls îndeplineşte cerinţele 1 şi 2, în măsura în care eroarea alias poate fi neglijată. Exemplul 3.1. Să se transforme un filtru analogic cu funcţia de transfer

9)1,0(

1,0)( 2 +++

=s

ssH a

într-unul digital IIR, prin metoda invarianţei răspunsului la impuls. Soluţie. Filtrul are un zerou la s=-0,1 şi o pereche de poli complex conjugaţi 31,02,1 jp ±−= , poziţionaţi ca în figura 3.6.

Pentru proiectarea filtrului IIR nu trebuie determinat răspunsul la impuls )(tha , ci se determină direct H(z) din descompunerea în fracţii simple a lui )(sH a .

Figura 3.6. Localizarea polilor şi a zeroului

31,031,0

)( 21

21

jsjssH

+++

−+= ;

131,021

131,021

11)( −−−−− −

+−

=zeezee

zH TjTTjT

Cei doi poli complex conjugaţi pot fi combinaţi pentru a forma un filtru cu doi poli, cu funcţia de sistem

12,011,0

11,0

)3cos2(1)3cos(1)( −−−−

−−

+−−

=zezTe

zTezH TT

T

Modulul caracteristicii de frecvenţă a acestui filtru este dată în figura 3.7a pentru T=0,1 şi T=0,5. Pentru comparaţie, în figura 3.7b se prezintă răspunsul în frecvenţă al filtrului analogic.

Page 467: Prelucrarea digitala a semnalelor

143

Figura 3.7 Răspunsul în frecvenţă pentru a) filtrul digital b) filtrul analogic din

exemplul 3.1

Se observă că eroarea alias este mai semnificativă la T=0,5 decât la T=0,1. De asemenea, se observă deplasarea frecvenţei de rezonanţă cu schimbarea lui T şi micşorarea erorii alias pentru valori mici ale lui T. Concluzii cu privire la metoda invarianţei răspunsului la impuls

1. Răspunsul la impuls al filtrului numeric h[n] este identic cu cel al fitrului analogic, h(t), la momentele discrete t = nT, n = 0,1,..., conform figurii 3.3.

2. Eşantionarea în timp afectează răspunsul în frecvenţă al filtrului numeric obţinut prin această metodă.

3. Spectrul filtrului numeric, caracterizat de funcţia de sistem H(z), va fi acelaşi cu al filtrului analogic original, caracterizat de funcţia de transfer H(s), dar acesta se repetă la multipli ai frecvenţei de eşantionare. Oricum, dacă se iau suficiente eşantioane din răspunsul la impuls al filtrului original analogic şi dacă acesta este de bandă limitată înainte de aplicarea metodei invarianţei răspunsului la impuls, eroarea de tip alias va fi mică. Metoda poate fi folosită pentru F.T.J. cu tăiere foarte abruptă, cu alias mic, dacă frecvenţa de eşantionare este suficient de mare, dar nu este potrivit pentru F.T.S. sau F.T.B., fără folosirea filtrului de gardă (anti – alias).

3.2.4. Metoda transformării biliniare

Metoda transformării biliniare este în prezent unul dintre cele mai eficiente procedee de proiectare a filtrelor IIR în raport cu următoarele două criterii: răspunsul în frecvenţă să aproximeze cât mai fidel filtrul analogic de referinţă şi să se menţină simplitatea în proiectare.

Page 468: Prelucrarea digitala a semnalelor

144

Metoda se bazează pe integrarea ecuaţiilor diferenţiale şi folosirea aproximării numerice. Se consideră, sub formă generală, funcţia de transfer a sistemului

)()(

)(sXsYsH

a

aa = (3.49)

unde )(),( sYsX aa sunt transformatele Laplace ale semnalelor de intrare, )(txa , şi, respectiv, de ieşire, )(tya . Funcţia de transfer a filtrului analogic

poate fi descompusă în fracţii simple, motiv pentru care, în continuare, analiza se efectuează pentru un filtru liniar analogic cu funcţia de transfer

as

bsH a +=)( (3.50)

Ecuaţia diferenţială care caracterizează filtrul liniar analogic este atunci de forma

)()()( tbxtay

dttdy

aaa =+ (3.51)

Răspunsul ya(t) se poate determina cu relaţia

)()()( 0'

0

tydyty a

t

taa += ∫ ττ (3.52)

unde )(' tya este derivata răspunsului )(tya . Din aproximarea integralei (3.52) prin metoda trapezelor, rezultă pentru t=nT şi 0t =nT-T

)()]()([2

)( '' TnTyTnTynTyTnTy aaa −+−+= (3.53)

Relaţia (3.51), evaluată la t=nT, este )()()(' nTbxnTaynTy aaa +−= (3.54)

Din înlocuirea relaţiei (3.54) în (3.53) rezultă

)()](

)()()([2

)(

TnTyTnTbx

TnTaynTbxnTayTnTy

aa

aaaa

−+−+

+−−+−= (3.55)

cu notaţiile y[n]=ya(nT), x[n]=xa(nT), y[n-1]=ya(nT-T), x[n-1]=xa(nT-T), relaţia (3.55) devine

( )]1[][2

]1[2

1][2

1 −+=−

−−

+ nxnxbTnyaTnyaT (3.56)

Transformata Z a acestei ecuaţii cu diferenţe este

Page 469: Prelucrarea digitala a semnalelor

145

)()1(2

)(2

1)(2

1 11 zXzbTzYzaTzYaT −− +=

−−

+ (3.57)

Funcţia de transfer a filtrului digital echivalent este

1

1

)2/1(2/1)1)(2/(

)()()( −

−−++

==zaTaT

zbTzXzYzH (3.58)

sau

a

zz

T

bzH+

+−

=

1

1

112

)( (3.59)

Se observă că H(z) se poate obţine din )(sH a , folosind substituţia

1

1

112

+−

=zz

Ts , (3.60)

adică

112|)()(+−

==

zz

Ts

sHzH (3.61)

Transformarea inversabilă din relaţia (3.60) se numeşte transformare biliniară.

Deşi obţinerea transformării biliniare s-a efectuat pentru o ecuaţie diferenţială de ordinul 1, relaţia (3.61) este adevărată indiferent de ordinul N al ecuaţiei diferenţiale sau, echivalent, al sistemului analogic, întrucât acesta este echivalent cu conectarea în paralel a N sisteme de ordinul 1.

Rezolvând (3.60) în raport cu z, rezultă ( ) ( )ssz TT

22 1/1 −+= (3.62) Când s =jΩ relaţia (3.62) devine

( ) ( )Ω−Ω+= jjz TT22 / (3.63)

Din această ecuaţie rezultă că |z|=1. Pentru Ω=0, rezultă z=1, iar pentru Ω=∞ , z=-1. Dacă în (3.62), se înlocuieşte s=σ+jΩ se obţine

Ω−−

Ω++=

jT

jTz

σ

σ

2

2

(3.64)

astfel încât, dacă σ<0 (semiplanul stâng) se obţine |z|<1, iar dacă 0>σ (semiplanul drept), se obţine |z|>1.

Page 470: Prelucrarea digitala a semnalelor

146

Analog, dacă se scrie variabila z în formă polară, ωjrez = , şi apoi se înlocuieşte în (3.60), rezultă

Ω+=

++

+++−

=

=+−

=+−

=

jrr

rjrr

rT

rere

Tzz

Ts j

j

σω

ωω

ω

ω

cos21sin2

cos2112

112

112

22

2 (3.65)

Prin identificare, se obţine

ωσ

cos2112

2

2

rrr

T ++−

= (3.66)

ω

ωcos21

sin222 rrr

T ++=Ω (3.67)

Pentru r<1, rezultă σ<0 şi dacă r>1, rezultă σ>0, deci semiplanul stâng se transformă în interiorul cercului unitate, şi semiplanul drept în exteriorul cercului unitate. Pentru r=1, rezultă σ=0 şi

2

2cos1

sin2 ωω

ω tgTT

=+

=Ω (3.68)

sau, echivalent

2

2 1 Ttg Ω= −ω (3.69)

În figura 3.8 se arată corespondenţa dintre planul s şi planul Z prin transformarea biliniară. Întreaga axă jΩ a planului s se transformă în conturul cercului unitate; semiplanul stâng al planului s se transformă în interiorul cercului unitate iar semiplanul drept în exteriorul cercului unitate.

Figura 3.8. Corespondenţa dintre planul s şi planul Z prin transformarea biliniară

Page 471: Prelucrarea digitala a semnalelor

147

Se observă că utilizarea transformării biliniare oferă posibilitatea proiectării de filtre numerice stabile, plecând de la filtre analogice stabile. Alt avantaj este acela că se înlătură suprapunerea spectrală întâlnită la utilizarea metodei invarianţei răspunsului la impuls, deoarece transformă întreaga axă imaginară a planului s în conturul cercului unitate. Preţul plătit este introducerea unor distorsiuni pe axa frecvenţelor, datorită faptului că axa infinită jΩ se comprimă în conturul cercului unitate. Relaţia neliniară dintre frecvenţele variabile din cele două domenii, exprimată prin relaţia (3.69), este reprezentată în figura 3.9. Această metodă se foloseşte numai în aplicaţii care tolerează asemenea distorsiuni sau atunci când ele pot fi compensate. Există o largă clasă de filtre pentru care se poate compensa neliniaritatea pronunţată dată de relaţia (3.69). Se observă că relaţia între frecvenţa analogică Ω şi frecvenţa discretă ω este aproape liniară pentru valori mici ale lui ω, dar devine neliniară pentru valori mari ale lui ω, conducând la distorsiuni în răspunsul în frecvenţă al filtrului digital.

Figura 3.9. Relaţia între frecvenţa analogică şi cea digitală în transformarea biliniară

De asemenea, este interesant de observat că, prin transformarea biliniară, punctului s=∞ îi corespunde z=-1. În consecinţă, un FTJ analogic cu un singur zero la s=∞ are ca rezultat un filtru digital care are un zero la z=-1. Paşi folosiţi în aplicarea metodei transformării biliniare

1. Se definesc, conform aplicaţiei, frecvenţele caracteristice ale filtrului numeric.

Page 472: Prelucrarea digitala a semnalelor

148

2. Se calculează frecvenţa sau frecvenţele corespunzătoare filtrului analogic, cu relaţia )2/()/2( TtgT kk ω=Ω .

3. Se proiectează filtrul analogic cu funcţia de transfer )(sH a care îndeplineşte specificaţiile de frecvenţă kΩ , de la punctul 2.

4. Se determină apoi funcţia de transfer H(z) a filtrului numeric dorit.

Exemplul 3.2. Să se transforme un filtru analogic cu funcţia de transfer

16)1,0(

1,0)( 2 +++

=s

ssH a

într-un filtru digital IIR prin transformarea biliniară. Filtrul digital trebuie să aibă frecvenţa de rezonanţă la 2/πω =r . Soluţie. Frecvenţa de rezonanţă a filtrului analogic este 4=Ω r . Această frecvenţă trebuie să fie transformată în 2/πω =r prin selectarea valorii parametrului T din relaţia (3.69). Rezultă T=1/2 şi, deci, conform relaţiei (3.60), se poate scrie

1

1

114 −

+−

=zzs

Filtrul digital rezultat are funcţia de transfer

21

21

975,00006,01122,0006,0128,0)( −−

−−

++−+

=zz

zzzH

Ţinând cont că termenul 0,0006 1−z e foarte mic în comparaţie cu ceilalţi termeni, acesta se neglijează şi rezultă că H(z) are polii

2/2,1 987,0 πjep ±= şi zerouri la 95,0,1 21 =−= zz . În acest exemplu

parametrul T a fost ales astfel încât frecvenţa de rezonanţă a filtrului analogic să corespundă cu frecvenţa de rezonanţă a filtrului digital. De obicei, proiectarea filtrului începe cu specificaţiile în domeniul digital. Aceste specificaţii în frecvenţă sunt transformate în domeniul analogic, prin relaţia (3.68). Filtrul analogic este proiectat pentru aceste specificaţii şi convertit într-un filtru digital prin transformarea biliniară (3.60). În această procedură parametrul T dispare din expresia lui H(z), astfel încât poate avea o valoare arbitrară (fie T=1). Următorul exemplu ilustrează acest lucru.

Page 473: Prelucrarea digitala a semnalelor

149

Exemplul 3.3. Să se proiecteze un FTJ cu un singur pol, cu lăţimea de bandă de

π2,0 la 3dB, prin transformarea biliniară aplicată filtrului analogic H(s)= )/( cc s Ω+Ω , unde cΩ este lăţimea de bandă a filtrului analogic la 3dB.

Soluţie. Filtrul digital are câştigul de -3dB la πω 2,0=c . În domeniul frecvenţelor filtrului analogic, πω 2,0=c corespunde frecvenţei

TtgTc /65,0)1,0(2

==Ω π , rezultând funcţia de transfer a filtrului

analogic Ts

TsH/65,0

/65,0)(+

=

Aplicând transformarea biliniară pentru a converti filtrul analogic în filtrul digital dorit, rezultă

1

1

509,01)1(245,0)( −

−+

=zzzH

Răspunsul în frecvenţă al filtrului digital este

ω

ω

ω j

j

eeH −

−+

=509,01

)1(245,0)(

La ω=0, H(0)=1 şi la ω= π2,0 , |H( π2,0 )|=0,707, care este răspunsul dorit. 3.2.5. Metoda transformării în Z adaptate Această metodă se bazează pe exprimarea funcţiei de transfer a filtrului analogic ( )sH a în formă factorizată şi constă în transformarea directă a polilor şi zerourilor din planul s în planul Z. Metoda transformării în Z adaptate transformă un pol kk ps = din planul s în polul Tp

pk

kez = în planul z, adică transformă factorul s-pk în factorul

Tpkez 11 −− . Similar, un zerou kk zs = se transformă în Tzz

kk

ez = . Presupunând funcţia de transfer a filtrului analogic factorizată în forma

=

=

−= N

kk

M

kk

ps

zssH

1

1

)(

)()( (3.70)

Page 474: Prelucrarea digitala a semnalelor

150

unde kz şi kp sunt zerourile şi, respectiv, polii filtrului analogic, funcţia de sistem a filtrului digital este

=

=

−= N

k

Tp

M

k

Tz

ze

zezH

k

k

1

1

1

1

)1(

)1()( (3.71)

unde T este perioada de eşantionare. Pentru o pereche de poli complex conjugaţi transformarea factorilor devine

( )( ) ( )

( ) 220

1

20

200

cos21 −−−− +Ω−→

Ω++=Ω−+Ω++

zeTezsjsjsTT αα

ααα (3.72)

Polii funcţiei de transfer ( )zH a filtrului digital astfel obţinut sunt identici cu cei obţinuţi prin metoda invarianţei răspunsului la impuls, în schimb zerourile sunt diferite. Deşi foarte comodă, metoda nu este folosită datorită faptului că erorile de tip alias sunt mult mai puternice decât la metoda invarianţei răspunsului la impuls.

3.2.6. Proiectarea FTS, FTB, FOB prin transformări de frecvenţă

Până acum s-a insistat în principal asupra proiectării FTJ, IIR.

Dacă se doreşte proiectarea unui FTS, FTB, FOB, aceasta se face cu un model de FTJ, căruia i se aplică o transformare de frecvenţă. O posibilitate este de a realiza transformarea de frecvenţă în domeniul analogic şi apoi să se convertească filtrul analogic într-un filtru digital, făcând corespondenţa dintre planul s şi planul Z. O altă cale este de a transforma întâi filtrul TJ analogic într-un FTJ digital şi apoi de a transforma FTJ digital în filtrul dorit printr-o transformare de frecvenţă direct în domeniul discret. În general aceste metode produc rezultate diferite, cu excepţia transformării biliniare, când proiectările sunt identice.

3.2.6.1. Transformări de frecvenţă în domeniul analogic

Transformările de frecvenţă în domeniul analogic sunt transformări generale care permit obţinerea filtrelor trece sus, trece bandă

Page 475: Prelucrarea digitala a semnalelor

151

şi opreşte bandă pornind de la un filtru trece jos proiectat. Ele realizează schimbarea caracteristicilor de selectivitate printr-o simplă substituire a variabilei s în expresia funcţiei de transfer ( )sH a . Se notează cu s şi s’ variabilele frecvenţă complexă pentru filtrul trece jos şi cel derivat din acesta.

a) Se presupune că este proiectat un FTJ cu frecvenţă tăiere cΩ şi se doreşte convertirea sa în altul, tot trece jos, cu frecvenţa de tăiere

'cΩ .Transformarea care realizează acest lucru este [48]

s→ sc

c'Ω

Ω (TJ în TJ) (3.73)

Funcţia de transfer a FTJ obţinut este ( ) ])/[( ' sHsH ccpl ΩΩ= , (3.74)

unde ( )sH p este funcţia de transfer a filtrului prototip, cu frecvenţa de tăiere cΩ .

b) Dacă se doreşte conversia unui FTJ în unul TS cu frecvenţa de tăiere '

pΩ transformarea necesară este [48]

s→s

cc'ΩΩ

(TJ în TS) (3.75)

Funcţia de transfer a FTS este ( ) ( )sHsH ccph /'ΩΩ= c) Transformarea unui FTJ analogic cu frecvenţa tăiere cΩ în banda de trecere a filtrului, în unul TB cu frecvenţa de tăiere inferioară

clΩ şi cea superioară cuΩ , poate fi realizată întâi prin transformarea FTJ în alt FTJ cu frecvenţa de tăiere '

cΩ =1 şi apoi realizând transformarea [48]

s→)(

2

clcu

cucl

ss

Ω−ΩΩΩ+

(TJ în TB) (3.76)

Echivalent, se poate obţine acelaşi rezultat într-un singur pas, prin transformarea [48]

( )clcu

cuclc s

ssΩ−ΩΩΩ+

Ω→2

(TJ în TB) (3.77)

Se obţine astfel

Page 476: Prelucrarea digitala a semnalelor

152

( ) ( )

Ω−ΩΩΩ+

Ω=clcu

cuclcpb s

sHsH

2

. (3.78)

d) Transformarea unui FTJ analogic cu frecvenţa de tăiere cΩ într-un FOB se face prin transformarea inversă a relaţiei (3.76), cu factorul cΩ servind la normalizarea frecvenţei de tăiere a FTJ. Astfel, transformarea este [48]

( )

clcu

clcuc s

ss

ΩΩ+Ω−Ω

Ω→ 2 (TJ în OB)

(3.79) ceea ce conduce la

( ) ( )

ΩΩ+Ω−Ω

Ω=clcu

clcucpbs s

sHsH 2 (3.80)

Programele de proiectare a filtrelor analogice utilizează transformarea bipătratică generală [48]

2210

2210

sdsddscsccs

++++

→ (3.81)

care, prin particularizarea coeficienţilor ic , id , permite realizarea tuturor transformărilor anterioare.

3.2.6.2. Transformări de frecvenţă în domeniul digital

Ca şi în domeniul analogic, transformările de frecvenţă pot fi

aplicate şi unui FTJ digital pentru a-l transforma într-un FTB, FOB, FTS. Transformarea implică înlocuirea variabilei 1−z cu o funcţie raţională

)( 1−zg , care trebuie să satisfacă următoarele proprietăţi [48]: 1. Corespondenţa 1−z → )( 1−zg trebuie să transpună punctele

din interiorul cercului unitate din planul z , în el însuşi. 2. Cercului unitate trebuie să-i corespundă tot cercul unitate.

Condiţia (2) implică faptul că pentru r=1, ( ) ( ) ( ) ( )]arg[|| ωωω ωω gjjj eggege =≡= −− (3.82)

Rezultă, deci, că trebuie ca |g(ω)|=1 pentru toţi ω. Corespondenţa este de tipul trece tot, adică de forma

Page 477: Prelucrarea digitala a semnalelor

153

( ) ∏=

−−

−−

±=n

k k

k

zaazzg

11

11

1 (3.83)

unde 1|| <ka , pentru a asigura faptul că un filtru stabil este transformat în alt filtru stabil. Din relaţia generală (3.83) se obţine setul de transformări digitale pentru transformarea unui FTJ digital, cu frecvenţa de tăiere cω , în alt FTJ, în unul TB, OB sau TS. Astfel, transformarea TJ→TJ este dată de corespondenţa [48]

1

11

1 −

−−

−−

→az

azz (3.84)

cu: ]2/)sin[(]2/)sin[(

'

'

cc

ccaωωωω

+−

= , 'cΩ - frecvenţa de tăiere a noului filtru.

Transformarea TJ→TS [48] 1

11

1 −

−−

++

−→az

azz (3.85)

cu: ]2/)cos[(]2/)cos[(

'

'

cc

ccaωωωω

−+

−= , 'cΩ - frecvenţa de tăiere a noului filtru.

Transformarea TJ→TB [48] 11

12

2

21

12

1

+−+−

−→ −−

−−−

zazaazazz (3.86)

cu: )1/()1();1/(2 21 +−=+= KKaKKa α ,]2/)cos[(]2/)cos[(

clcu

clcu

ωωωω

α−+

= ,

22)( cclcu tgctgK

ωωω −= , clω - frecvenţa inferioară de tăiere a filtrului

dorit, cuω - frecvenţa superioară de tăiere a filtrului dorit.

Transformarea TJ→OB [48] 11

11

2

21

12

1

+−+−

→ −−

−−−

zazaazazz (3.87)

Cu )1/()1();1/(2 21 +−=+= KKaKa α , ,]2/)cos[(]2/)cos[(

clcu

clcu

ωωωω

α−+

=

22)( cclcu tgtgK

ωωω −= , clω - frecvenţa inferioară de tăiere a filtrului

dorit, cuω - frecvenţa superioară de tăiere a filtrului dorit. În realizarea transformărilor de frecvenţă trebuie avut grijă de tipul filtrului care trebuie să fie proiectat.

Page 478: Prelucrarea digitala a semnalelor

154

S-a arătat că metoda invarianţei răspunsului la impuls nu este adecvată pentru proiectarea FTS şi a multor FTB, datorită efectului de aliere spectrală. În consecinţă, nu se va efectua o transformare de frecvenţă analogică, urmată de o conversie a rezultatului în domeniul digital, folosind această corespondenţă. În schimb, este mai bine să a se realiza conversia dintr-un FTJ analogic în unul digital prin metoda invarianţei răspunsului la impuls şi abia apoi să se realizeze transformarea de frecvenţă în domeniul digital. Astfel se evită problema erorii alias.

În cazul transformării biliniare, unde aliasingul nu este o problemă, nu contează când are loc transformarea de frecvenţă, în domeniul analogic sau în cel digital, în acest caz rezultatele fiind identice.

3.3. Tehnici directe de proiectare a filtrelor digitale IIR

Ca o alternativă la tehnica transformării unui filtru analogic în unul digital există metoda proiectării filtrelor IIR digitale direct în domeniul timp sau Z, fără a face referire la cele analogice.

Metodele de proiectare din această categorie se bazează pe optimizare numerică şi, în principiu, permit obţinerea de filtre digitale ce aproximează orice tip de răspuns în domeniul timp sau frecvenţă.

Proiectarea directă a filtrelor IIR presupune următoarele etape: 1) Considerarea unei funcţii raţionale ( )zH de forma (3.3) cu

ordinele M şi N ale polinoamelor ( )zB şi ( )zA fixate; 2) Alegerea unui criteriu de minimizare a erorii adecvat aplicaţiei concrete. Eroarea se poate referi fie la modulul funcţiei de transfer, fie la faza acesteia, fie simultan la cele doua caracteristici, în cazul aproximării în domeniul frecvenţă, sau la răspunsul la impuls [ ]nh , în cazul aproximării în domeniul timp. 3) Utilizarea unui algoritm, în general iterativ, pentru determinarea coeficienţilor kk ba , ai lui ( )zH sau a secvenţei [ ]nh , astfel încât eroarea dintre răspunsul dorit şi cel realizat să fie minimizată.

În continuare vor fi prezentate câteva metode de proiectare directă a filtrelor IIR, în care specificaţiile şi proiectarea sunt în domeniul timp.

Page 479: Prelucrarea digitala a semnalelor

155

3.3.1. Metoda de aproximare Padé În această metodă se presupune că răspunsul la impuls dorit ][nhd este specificat pentru 0≥n . Filtrul ce urmează a fi proiectat are funcţia de sistem

∑∑

∑ ∞

=

=

=

=+

=0

1

0 ][1

)(n

nN

k

kk

M

k

kk

znhza

zbzH (3.88)

unde ][nh este răspunsul la impuls. Filtrul are 1++= NML parametri, şi anume, coeficienţii ka şi kb , ce pot fi aleşi astfel încât să minimizeze un criteriu de eroare. Criteriul celor mai mici pătrate este adesea folosit în problemele de optimizare. În acest caz se minimizează suma pătratelor erorilor

[ ]∑=

−=U

nd nhnhE

0

2][][ (3.89)

în raport cu parametrii ka şi kb ai filtrului, unde U este o limită superioară prestabilită pentru sumare, aleasă astfel încât eroarea de aproximare a a funcţiei de transfer sau a răspunsului la impus să fie în limitele impuse de datele de proiectare. În general, ][nh este o funcţie neliniară de parametrii filtrului şi minimizarea lui E implică rezolvarea unui sistem de ecuaţii neliniare. Dacă limita superioară se selectează ca fiind 1−= LU , este posibil a adapta perfect răspunsul dorit ][nhd pentru NMn +≤≤0 . Aceasta se poate realiza în felul următor: filtrul ce urmează a fi proiectat este descris de ecuaţia cu diferenţe

][]1[][][]2[]1[][

10

21

MnxbnxbnxbNnyanyanyany

M

N

−++−+++−−−−−−−=

……

(3.90)

Dacă intrarea în filtru este impulsul unitate, adică ][][ nnx δ= , rezultă că ][][ nhny = , adică

][]1[][][]2[]1[][

10

21

MnbnbnbNnhanhanhanh

M

N

−++−+++−−−−−−−=

δδδ ……

(3.91)

Deoarece 0][ =−δ kn pentru kn ≠ , relaţia (3.91) devine

Page 480: Prelucrarea digitala a semnalelor

156

MnbNnhanhanhanh nN ≤≤+−−−−−−−= 0,][]2[]1[][ 21 … (3.92) Pentru Mn > , relaţia (3.91) devine

][]2[]1[][ 21 Nnhanhanhanh N −−−−−−−= … (3.93) Ecuaţiile (3.92) şi (3.93) pot fi folosite în determinarea coeficienţilor filtrului ka şi kb . Se impune ][][ nhnh d= pentru NMn +≤≤0 şi se foloseşte sistemul de ecuaţii (3.93) pentru a determina ka . Apoi, aceştia se introduc în (3.92), pentru a determina coeficienţii kb . Astfel, se obţine o potrivire perfectă între ][nh şi ][nhd pentru primele L valori ale răspunsului la impuls. Această tehnică se numeşte, de obicei, aproximarea Padé [49]. Măsura în care această metodă permite obţinerea de filtre acceptabile depinde, în parte, de numărul de coeficienţi selectaţi. Evident, cu cât acesta este mai mare, cu atât va fi mai bună aproximarea. Acesta este un dezavantaj important al metodei, şi anume, filtrul rezultat va avea mulţi poli şi multe zerouri, motiv pentru care folosirea sa în practică este limitată.

Exemplul 3.4.

Se presupune că răspunsul la impuls dorit este ][212][ nunh

n

d

= .

Să se determine parametrii filtrului cu funcţia de sistem

11

110

1)( −

++

=zazbbzH , folosind aproximarea Padé.

Soluţie. În acest exemplu simplu H(z) se poate potrivi perfect cu

)(zH d selectând 0,2 10 == bb , 21

1 −=a . Acelaşi lucru se poate obţine

si cu aproximarea Padé, după cum este ilustrat în continuare. Cu intrarea δ[n] , se obţine

]1[][]1[][ 101 −++−−= nbnbnhanh δδ pentru n>1, ]1[][ 1 −−= nhanh sau, impunând ]1[][ −= nhnh d , ]1[][ 1 −−= nhanh dd . Înlocuind ][nhd în ultima relaţie, se obţine

Page 481: Prelucrarea digitala a semnalelor

157

21]1[

212][

212 1

1

1 −=→−

−=

anuanunn

.

Pentru a determina 0b şi 1b se foloseşte relaţia (3.92), de asemenea, cu condiţia ][nh = ][nhd .

Se obţine ]1[][]1[21][ 10 −++−= nbnbnhnh dd δδ .

Pentru n=0→ 2= 0b , n=1→ 02211 11 =→+= bb , deci H(z)= )(zHd .

Acest exemplu arată că aproximarea Padé are ca rezultat o potrivire perfectă cu )(zHd , când funcţia de sistem dorită este o funcţie raţională şi se cunoaşte numărul de poli şi zerouri din funcţia de sistem. Acesta nu este, în general, cazul în practică, deoarece ][nhd se determină din specificaţiile răspunsului dorit în frecvenţă, )(ωdH . O soluţie de a obţine o aproximare bună a filtrului dorit cu metoda Padé este de a încerca diverse valori pentru M şi N până când răspunsul în frecvenţă al filtrului rezultat converge la răspunsul în frecvenţă dorit cu o eroare de aproximare acceptabil de mică.

3.3.2. Proiectarea filtrelor digitale IIR folosind metoda celor mai mici pătrate

În această metodă se adoptă un model pentru sistem şi se determină parametrii modelului care minimizează în sensul celor mai mici pătrate eroarea dintre răspunsul sistemului real şi răspunsul dorit. Se presupune din nou că ][nhd este specificat pentru 0≥n . Se începe cu cazul simplu, în care filtrul numeric ce urmează a fi proiectat conţine numai poli, adică

∑=

−+= N

k

kk za

bzH

1

0

1)( (3.94)

Fie conectarea în cascadă a filtrului dorit )(zH d cu filtrul invers

)(1

zH, care este un filtru numai cu zerouri, ca în figura 3.10. Se

Page 482: Prelucrarea digitala a semnalelor

158

presupune că la intrarea cascadei din figura 3.10 se aplică excitaţia ][nδ , astfel încât intrarea în sistemul invers este ][nhd şi ieşirea y[n]. Ideal, ieşirea dorită este ][][ nnyd δ= . Ieşirea reală, ][ny , se obţine astfel:

+=⋅= ∑

=

−N

k

kkdd zazH

bzHzHzY

10

1)(1)(

1)()( (3.95)

sau, în domeniul timp

−+= ∑

=

N

kdkd knhanh

bny

10

][][1][ (3.96)

Figura 3.10 Proiectarea filtrului invers prin metoda celor mai mici pătrate

Condiţia 1]0[]0[ == yyd este satisfăcută prin alegerea ]0[0 dhb = . Pentru 0>n , ][ny reprezintă eroarea dintre ieşirea dorită

0][ =nyd şi ieşirea reală. Parametrii ka vor fi selectaţi astfel încât să minimizeze suma pătratelor secvenţei de eroare:

]0[

][][][ 2

2

1 1

1

2

d

n

N

kdkd

n h

knhanhnyE

∑ ∑∑

= =∞

=

−+

== (3.97)

Minimul acestei mărimi se obţine pentru coeficienţii ka rezultaţi din egalarea cu zero a derivatei lui E în raport cu ka , de unde se obţine un sistem de ecuaţii liniare.

+ –

][nδ ][nhd ][ny ][nδ )(zH d

)(1

zH

Minimizează suma erorilor

pătratice

Page 483: Prelucrarea digitala a semnalelor

159

0][][][][][][2

0]0[

][][][][2]['

1 11

2

'

1 111

2

=

−−+−−+−

=

−−+−+

=

∑ ∑∑

∑ ∑∑∑

= ==

= ===

n

N

kddk

N

lddldd

d

n

N

ldl

N

kdk

N

kdkdd

lnhknhalnhknhaknhnh

h

lnhaknhaknhanhnhE

NklkrakrN

lddldd ,,2,1,0],[]0,[

1…==+⇔ ∑

=

Nkkrlkra dd

N

lddl ,,2,1,][],[

1…=−=∑

=

(3.98)

unde ],[ lkrdd este secvenţa de autocorelaţie a lui ][nhd , definită ca

∑∞

=

−−=1

][][],[n

dddd lnhknhlkr (3.99)

Pentru secvenţe staţionare

][][][],[0

lkrlknhnhlkr ddn

dddd −=−+= ∑∞

=

(3.99’)

][][][]0,[0

krknhnhkr ddn

dddd ∑∞

=

=−= (3.99’’)

Sistemul de ecuaţii (3.98) poate fi scris matriceal [ ][ ] [ ]dddd raR = (3.100)

unde [ ]ddR este matricea de corelaţie, cu elementele ][ lkrdd − , de dimensiune NN × , [ ]a este vectorul 1×N al coeficienţilor filtrului şi [ ]ddr este un vector 1×N cu elementele ][krdd− . Dacă există [ ] 1−

ddR , din (3.100) rezultă

[ ] [ ] [ ]dd1

dd rRa −= (3.101) Metoda descrisă se numeşte metoda celor mai mici pătrate de proiectare a filtrului invers. Pentru o problemă de proiectare particulară, răspunsul la impuls dorit ][nhd este specificat pentru un număr finit de puncte; fie acesta

Ln ≤≤0 , cu NL >> . Într-un astfel de caz, secvenţa de corelaţie ][krdd se poate calcula din secvenţa finită ][nhd cu relaţia

Page 484: Prelucrarea digitala a semnalelor

160

NlklknhnhlkrlkL

ndddd ≤−≤−+=− ∑

−−

=

0,][][][ˆ0

(3.102)

aceste valori putând fi folosite pentru a forma pe [ ]ddR şi [ ]ddr . Astfel, sistemul de ecuaţii liniare (3.100) devine

[ ] [ ]dddd raR ˆ]ˆ[ = (3.103)

[ ] [ ]dddd rRa ˆ]ˆ[ 1−= (3.104) O metodă alternativă de rezolvare a problemei de aproximare a filtrului numai cu poli se bazează pe conceptul de predicţie liniară pe baza minimizării erorii în sensul celor mai mici pătrate [49]. După cum se arată în Figura 3.11, ieşirea filtrului numai cu poli la un impuls ][nδ este

][][][ 01

nbknyanyN

kk δ+−−= ∑

=

(3.105)

sau, echivalent

,...1,0],[][][ 01

=+−−= ∑=

nnbknhanhN

kk δ (3.106)

Figura 3.11. Proiectarea filtrului prin metoda celor mai mici pătrate bazată pe predicţia liniară

Răspunsul dorit este ][nhd . Dacă şi acesta ar fi furnizat de un filtru numai cu poli, atunci

…,1,0,][][][ 01

=+−−= ∑=

nnbknhanhN

kdkd δ (3.106’)

Deoarece 0]0[ bh = , se impune ]0[0 dhb = . Pentru 1≥n , (3.106) devine

][nhd

][nδ ][][][ 01

nbknhanhN

kk δ+−−= ∑

=

∑ −+= k

k zabzH

1)( 0

)(zHd

Page 485: Prelucrarea digitala a semnalelor

161

∑=

−−=N

kk knhanh

1][][ (3.107)

cu condiţia iniţială 0][ =nh pentru 0<n . În ipoteza că )(zHd este un filtru numai cu poli, atunci

1,][][1

≥−−= ∑=

nknhanhN

kdkd (3.108)

dar aceasta nu se întâmplă de obicei. Combinaţia liniară din membrul drept al relaţiei (3.108) poate fi considerată ca un estimat al lui ][nhd , adică

1,][][ˆ1

≥−−= ∑=

nknhanhN

kdkd (3.109)

][ˆ nhd se numeşte valoarea predicţiei liniare a lui ][nhd . Suma pătratelor

erorii de predicţie dintre ][nhd şi ][ˆ nhd este

( ) ∑ ∑∑∞

= =

=

−+=−=

1

2

11

2][][][ˆ][

n

N

kdkd

ndd knhanhnhnhE (3.110)

Expresia din relaţia (3.110) este chiar aceeaşi funcţie de eroare rezultată din setul de ecuaţii (3.98), ceea ce înseamnă că predicţia liniară pe baza metodei celor mai mici pătrate conduce la acelaşi rezultat ca metoda celor mai mici pătrate de proiectare a filtrului invers.

3.3.3. Metoda Prony Metoda predicţiei pe baza celor mai mici pătrate poate fi extinsă la o aproximare a lui )(zHd care conţine poli şi zerouri. Dacă filtrul )(zH care aproximează )(zHd are atât poli cât şi zerouri, atunci răspunsul său la un impuls ][nδ devine

0,][][][01

≥−δ+−−= ∑∑==

nknbknhanhM

kk

N

kk (3.111)

sau, echivalent,

Mnbknhanh n

N

kk ≤≤+−−= ∑

=

0,][][1

(3.112)

şi

Page 486: Prelucrarea digitala a semnalelor

162

MnknhanhN

kk >−−= ∑

=

,][][1

(3.113)

Dacă )(zHd este un filtru cu poli şi zerouri, răspunsul său la ][nδ ar trebui să satisfacă aceleaşi ecuaţii (3.111) ÷ (3.113), lucru care în general nu se întâmplă. Pe baza relaţiei (3.113) se defineşte valoarea de predicţie liniară a lui ][nhd , ca fiind

MnknhanhN

kdkd >−−= ∑

=

,][][ˆ1

(3.114)

Ca şi în cazul filtrului numai cu poli, suma pătratelor erorii de predicţie este

( ) ∑ ∑∑∞

+= =

+=

−+=−=

1

2

11

2

1 ][][][ˆ][Mn

N

kdkd

Mndd knhanhnhnhE (3.115)

Minimizarea lui 1E în raport cu coeficienţii ka conduce la sistemul de ecuaţii liniare

NkkrlkraN

lddddl ,,2,1,]0,[],[

1

…=−=∑=

(3.116)

unde

∑∞

+=

−−=1

][][],[Mn

dddd lnhknhlkr (3.117)

Ecuaţiile (3.116), care dau estimaţii coeficienţilor ka , notaţi ka , se reduc la aproximarea filtrului numai cu poli, când M se impune a fi zero. Parametrii kb ce determină zerourile filtrului se obţin din relaţia (3.112), unde ][][ nhnh d= , prin înlocuirea valorilor ka obţinute din (3.116).

MnknhanhbN

kdkdn ≤≤−+= ∑

=

0,][ˆ][1

(3.118)

În concluzie, parametrii ka ce determină polii se obţin prin metoda celor mai mici pătrate, în timp ce parametrii kb , care determină zerourile, se obţin ca în metoda de aproximare Padé. Această tehnică pentru determinarea polilor şi zerourilor lui )(zH se numeşte metoda Prony.

Page 487: Prelucrarea digitala a semnalelor

163

Metoda celor mai mici pătrate furnizează estimaţi buni pentru parametrii polilor. Metoda Prony poate să nu fie eficace pentru estimarea parametrilor kb , deoarece aceştia nu rezultă din aplicarea unui criteriu de minimizare a erorii.

3.3.4. Metoda Shanks

O altă metodă, în care ambele seturi de parametri, ka şi kb , se determină pe baza minimizării erorii de aproximare în sensul celor mai mici pătrate a fost propusă de Shanks (1967) [61] Parametrii ka sunt calculaţi prin aplicarea metodei celor mai mici pătrate, ca în relaţia (3.116), prezentată anterior. Aceasta produce estimaţii ka ce permit sintetizarea filtrului numai cu poli

∑=

−+= N

k

kk za

zH

1

1

ˆ1

1)( (3.119)

Răspunsul acestui filtru la ][nδ este

0,][][ˆ][1

≥δ+−−= ∑=

nnknvanvN

kk (3.120)

Secvenţa ][nv este folosită pentru a excita un filtru numai cu zerouri, cu funcţia de sistem

∑=

−=M

k

kk zbzH

02 )( (3.121)

După cum se arată în figura 3.12, răspunsul acestuia este

∑=

−=M

kkd knvbnh

0][][ˆ (3.122)

Figura 3.12. Folosirea metodei celor mai mici pătrate pentru determinarea polilor şi

zerourilor unui filtru

Se poate defini o secvenţă de eroare

∑=

−−=−=M

kkddd knvbnhnhnhne

0][][][ˆ][][ (3.123)

][nδ ][nv ][ˆ nhd Filtru numai cu poli H1(z)

Filtru numai cu zerouri H2(z)

Page 488: Prelucrarea digitala a semnalelor

164

şi, în consecinţă, parametrii kb pot fi, de asemenea, determinaţi cu ajutorul metodei celor mai mici pătrate, şi anume, prin minimizarea relaţiei

∑ ∑∞

= =

−−=

0

2

02 ][][

n

M

kkd knvbnhE (3.124)

în raport cu kb . Astfel, se obţine un sistem de ecuaţii liniare pentru parametrii kb , în forma

Mllrlkrb hv

M

kvvk ,,1,0,]0,[],[

0…==∑

=

(3.125)

unde

∑∞

=

−−=0

][][],[n

vv lnvknvlkr (3.126)

∑∞

=

−=0

][][]0,[n

dhv lnvnhlr (3.127)

3.3.5. Filtrul FIR invers obţinut prin metoda celor mai mici pătrate

Până acum, criteriul de minimizare a erorii în sensul celor mai mici pătrate s-a folosit în proiectarea filtrelor cu poli si zerouri. O abordare similară va fi folosită pentru a determina filtrul invers FIR, pe baza metodei celor mai mici pătrate pentru un filtru dorit. Sistemul invers al unui SDLIT, caracterizat de răspunsul la impuls h[n] şi funcţia de sistem H(z), se defineşte ca fiind sistemul al cărui răspuns la impuls, hI[n], şi funcţie de sistem, HI(z), satisfac relaţiile

][][*][ nnhnh I δ= (3.128) 1)()( =⋅ zHzH I (3.129)

În general HI(z) este cu răspuns infinit la impuls, cu excepţia cazului când H(z) are numai poli, şi HI(z) este cu răspuns finit la impuls. În multe aplicaţii practice este de dorit a restricţiona filtrul invers să fie FIR şi o metodă simplă de a obţine acest lucru este de a trunchia hI[n], caz în care eroarea pătratică totală de aproximare devine

∑∞

+=

=1

2 ][Mn

It nhE (3.130)

Page 489: Prelucrarea digitala a semnalelor

165

unde M + 1 este lungimea filtrului trunchiat şi Et este energia “cozii” răspunsului la impuls hI[n].

Criteriul de minimizare a erorii de aproximare în sensul celor mai mici pătrate poate fi folosit la optimizarea celor M + 1 coeficienţi ai filtrului FIR. Fie d[n] secvenţa de ieşire dorită a filtrului de lungime M + 1 şi fie h[n] secvenţa de intrare. Atunci, dacă y[n] este secvenţa de ieşire din filtrul invers, cum se arată în figura 3.13, secvenţa de eroare dintre secvenţa dorită si cea reală este

∑=

−−=M

kk knhbndne

0][][][ (3.131)

unde bk sunt coeficienţii filtrului.

Figura 3.13. Filtrul FIR invers obţinut prin metoda celor mai mici pătrate

Suma pătratelor secvenţei de eroare este

2

0 0][][∑ ∑

= =

−−=

n

M

kk knhbndE (3.132)

Prin minimizarea lui E în raport cu coeficienţii filtrului, rezultă sistemul de ecuaţii liniare

Mllrlkrb dhhh

M

kk …,1,0,][][

0==−∑

=

(3.133)

unde rhh[l] este funcţia de autocorelaţie a lui h[n], presupus staţionar, definită ca

∑∞

=

−=0

][][][n

hh lnhnhlr (3.134)

şi rdh[l] este secvenţa de corelaţie dintre răspunsul dorit d[n], de asemenea presupus staţionar, şi secvenţa de intrare h[n], definită ca

Page 490: Prelucrarea digitala a semnalelor

166

∑∞

=

−=0

][][][n

dh lnhndlr (3.135)

Filtrul FIR optim în sensul celor mai mici pătrate, care satisface ecuatiile liniare (3.133) se numeste filtru Wiener. Cum filtrul FIR optim în sensul celor mai mici pătrate, urmează să aproximeze filtrul invers, răspunsul dorit este ][][ nnd δ= , caz în care corelaţia dintre d[n] şi h[n] este

rdh[l] = =

restîn,00],0[ lh

(3.136)

Prin urmare, coeficienţii filtrului FIR obţinut cu metoda celor mai mici pătrate se obţin din soluţia ecuaţiei liniare (3.133), care se scrie matriceal

=

0.....0

]0[

.....]0[][

.......................................]1[..........]0[]1[

][..........]1[]0[

1

0 h

b

bb

rMr

MrrrMrrr

Mhhhh

hhhhhh

hhhhhh

(3.137)

Matricea este simetrică şi are elementele de pe fiecare diagonală egale, adică este Toeplitz, caz în care poate fi inversată eficient cu algoritmul Levison - Durbin care necesită un număr de operaţii proportional cu M2 în loc de M3, cum rezultă de obicei [49]. Înlocuind (3.133) în (3.132), rezultă valoarea minimă a sumei pătratelor erorilor obţinută cu filtrul FIR optim

][][00

2min krbndE dh

M

kk

n∑∑=

=

−= (3.138)

În cazul când filtrul FIR este filtrul invers obţinut pe baza metodei celor mai mici pătrate, ][][ nnd δ= şi ][]0[][ nhnr dh δ= . Prin urmare,

0min ]0[1 bhE −= (3.139) Exemplul 3.5.

Să se determine filtrul FIR invers, de lungime 2, folosind metoda celor mai mici pătrate, al sistemului care are răspunsul la impuls

Page 491: Prelucrarea digitala a semnalelor

167

h[n] =

=−

=

restîn,01,

0,1n

unde |α |< 1. Să se compare soluţia obţinută folosind metoda celor mai mici pătrate cu inversul aproximat prin trunchierea lui hI[n]. Soluţie.Deoarece sistemul are funcţia de sistem 11)( −−= zzH α ,

filtrul invers este IIR şi 111)( −−

=z

zH I α sau, echivalent,

][][ nunh nI α= . Dacă acesta este trunchiat după n termeni, energia

reziduală este

2

24222

1)1(

αααααα−

=+++== ∑∞

=

nn

nk

ktE …

Din (3.137) rezultă că filtrul FIR obţinut prim metoda celor mai mici pătrate, de lungime 2, satisface ecuaţiile

=

+−−+

01

11

1

02

2

bb

αααα

cu soluţia 42

2

0 11

ααα++

+=b , 421 1 αα

α++

=b . Pentru comparaţie, filtrul

invers trunchiat de lungime 2 are coeficienţii b0 = 1, b1 = α . Eroarea obţinută prin aplicarea metodei celor mai mici pătrate este

42

4

min 1 ααα++

=E , faţă de 2

4

1 αα−

=tE , pentru filtrul invers aproximat

prin trunchiere. Se observă că Et > Emin, astfel încât filtrul FIR invers obţinut cu metoda celor mai mici pătrate are performanţe superioare.

În acest exemplu răspunsul la impuls h[n] al sistemului este de fază minimă. Într-un astfel de caz, se selectează răspunsul dorit d[0]=1 şi d[n]=0 pentru n ≥1. Dacă sistemul nu este de fază minimă, ar trebui introdusă o întârziere în răspunsul dorit pentru a obţine un filtru bun, care să aproximeze cât mai fidel răspunsul dorit. Valoarea întârzierii potrivite depinde de caracteristica lui h[n]. În acest caz se poate calcula eroarea filtrului obţinut prin metoda celor mai mici pătrate pentru diferite întârzieri şi apoi se selectează filtrul care produce cea mai mică eroare, ca în exemplul 3.6.

Page 492: Prelucrarea digitala a semnalelor

168

Exemplul 3.6.

Să se determine filtrul FIR invers, de lungime 2, folosind metoda celor mai mici pătrate, al sistemului cu răspunsul la impuls

h[n] =

==−

restîn,01,1

0,n

nα unde 1<α .

Soluţie. Acesta este un sistem de faza maximă. Dacă se selectează d[n] = [1 0] se obţine aceeaşi soluţie ca în exemplul 3.5, cu eroarea minimă obţinută prin metoda celor mai mici pătrate egală cu

42

2

0min 111]0[1

αααα++

++=−= bhE

Dacă 0 < α < 1, atunci Emin > 1, care reprezintă un filtru invers neperformant. Dacă –1 < α < 0 atunci Emin < 1.

În particular, pentru α = 21 , Emin = 1,57, iar pentru α =

21 ,

Emin = 0,81, care este totuşi o valoare foarte mare pentru eroarea pătratică. Dacă se presupune că răspunsul dorit este ]1[][ −= nnd δ ,

coeficienţii filtrului obţinuţi din (3.137) sunt

=

=

+−−+

ααααα 1

)0()1(

11

1

02

2

bb

bb

420 11

αα ++=b , 42

3

1 1 ααα++

−=b .

Valoarea minimă a erorii obţinute prin metoda celor mai mici pătrate, dată de relaţia (3.138), este

42

4

42

4

42

1010min

111

1111

]0[]1[1]1[]0[1

ααα

ααα

αα ++−

−=++

+++

=−−=−−= hbhbrbrbE dhdh

În particular, dacă se presupune α = ± 21 ⇒ Emin = 0,21. În

consecintă, răspunsul dorit d[n] = δ[n-1] are ca rezultat un filtru invers mult mai bun. Îmbunătăţiri suplimentare se obţin prin creşterea lungimii filtrului invers.

Page 493: Prelucrarea digitala a semnalelor

169

În general, când răspunsul dorit este prevăzut să conţină o întârziere D, atunci secvenţa de corelaţie este

MllDh

lnhDnlnhndlrn n

dh

…1,0,][

][][][][][0 0

=−=

=−−=−= ∑ ∑∞

=

=

δ (3.140)

În acest caz, ecuaţiile liniare (10.137) devin

MllDhlkrb hh

M

kk …1,0][][

0=−=−∑

=

(3.141)

Expresia erorii, în cazul minimizării acesteia în sensul celor mai mici pătrate, dată în general de (3.138), devine

][10

min kDhbEM

kk −−= ∑

=

(3.142)

şi este cea mai mică în comparaţie cu erorile rezultate din alte metode de aproximare. 3.4. Alegerea între filtrele FIR şi IIR Alegerea dintre cele două tipuri de filtre depinde de avantajele oferite de fiecare dintre ele şi cerinţele de proiectare.

1. Filtrul FIR poate avea răspunsul de fază perfect liniar, deci filtrul nu introduce nici o distorsiune de fază. Această cerinţă este importantă în transmisiile de date, biomedicină, procesare de imagini. Caracteristica fază - frecvenţă a filtrelor IIR este neliniară, mai ales la capetele benzii de trecere.

2. Filtrele FIR pot fi realizate totdeauna nerecursiv [63], fapt care le asigură stabilitatea. Stabilitatea filtrelor IIR nu e garantată întotdeauna.

3. Efectele folosirii unui număr limitat de biţi în zgomotul de rotunjire şi în eroarea de cuantizare a coeficienţilor sunt mai puţin severe pentru filtrele FIR decât pentru filtrele IIR şi nu le afectează stabilitatea [21].

4. Filtrele FIR necesită mai mulţi coeficienţi pentru o bandă de tranziţie la fel de îngustã ca a filtrelor IIR, deci, pentru o specificare a răspunsului în amplitudine, timpul necesar unui filtru FIR pentru procesare şi stocare este mai mare.

Page 494: Prelucrarea digitala a semnalelor

170

5. Filtrele analogice pot fi transformate în echivalente digitale IIR, respectând specificaţii similare. Aceasta nu este posibil pentru un filtru FIR, acesta neavând corespondent analogic.

6. Filtrele FIR cu fază liniară prezintă un dezavantaj major constând în faptul că, în anumite aplicaţii, lungimea M a filtrului ce satisface cerinţele de proiectare este mare. Aceasta implică în mod evident o întârziere de grup normată mare, egală cu (M-1)/2, ceea ce poate fi un impediment în acele aplicaţii din telecomunicaţii pentru care ecourile semnalelor transmise nu pot fi tolerate. De asemenea, în sistemele de control cu reacţie, o întârziere mare în bucla de reacţie este în general inacceptabilă.

În concluzie, - Se folosesc filtre IIR, când se cere numai o caracteristică cu tăiere abruptă, în special folosind caracteristici eliptice, astfel rezultând mai puţini coeficienţi decât în cazul filtrelor FIR. - Se folosesc filtre FIR, când numărul de coeficienţi nu este prea mare şi nu sunt tolerate distorsiuni de fază.

Page 495: Prelucrarea digitala a semnalelor

171

Anexa 3a Filtre analogice clasice folosite în proiectarea filtrelor IIR

digitale În continuare vor fi prezentate succint câteva filtre analogice prototip întâlnite mai frecvent în aplicaţii. Se va face referire numai la FTJ, trecerea la celelalte tipuri de filtre efectuându-se prin transformări de frecvenţă. După cum se ştie, filtrul trece jos ideal nu este realizabil fizic [14], [26], [66], de aceea, caracteristica sa se poate doar aproxima. Există mai multe posibilităţi de aproximare [9]:

• Aproximare de tip maxim plat a caracteristicii amplitudine – frecvenţă, care conduce la o familie de filtre din care fac parte filtrele Butterworth.

• Aproximarea de tip maxim plat a timpului de întârziere de grup, care conduce la o familie de filtre din care fac parte filtrele Bessel.

• Aproximarea cu ripluri egale în banda de trecere şi comportare monotonă în banda de oprire (filtre de tip Cebîşev I).

• Aproximarea cu ripluri egale în banda de oprire şi comportare monotonă în banda de trecere (filtre de tip Cebîşev II).

• Aproximarea cu ripluri egale atât în banda de trecere, cât şi în banda de oprire (filtre Cauer sau eliptice).

3a.1. Filtre Butterworth Filtrele Butterworth [66] sunt FTJ caracterizate de funcţia de transfer care conţine numai poli:

( ) ( ) ( ) NN

pc

H 2222

11

11

ΩΩ

ΩΩ +

=+

=Ωε

(3a.1)

unde cΩ este frecvenţa de tăiere ( frecvenţa la -3dB), N – ordinul filtrului,

pΩ marginea benzii de trecere, iar ( ) 222

11

1

1)1(ε+

=+

=∆−ΩΩ Np

c

p este

valoarea lui ( ) 2H Ω la capătul benzii de trecere.

Deoarece ( ) ( )sHsH − evaluat la Ω= js este chiar ( ) 2H Ω , rezultă

Page 496: Prelucrarea digitala a semnalelor

172

( ) ( ) Ncs

sHsH)/(1

122 Ω−+

=− (3a.2)

Polii lui ( ) ( )sHsH − sunt plasaţi pe un cerc de rază cΩ , în puncte egal distanţate.

1,...,1,0,2/)12(2/ −=Ω= + Nkees Nkjjck

ππ (3a.3) Figura 3a.1 ilustrează poziţia polilor unui filtru Butterworth pentru N=4 şi N=5.

Figura 3a.1 Poziţiile polilor unui filtru Butterworth, N=4, N=5.

Filtrul Butterworth realizează o caracteristică de tip maxim plat la Ω=0 în sensul că primele 2N-1 derivate sunt nule la Ω=0 [28]. În figura (3a.2) este reprezentat răspunsul de amplitudine pentru câteva valori ale ordinului N al funcţiei de transfer. Se observă caracterul monoton al caracteristicii atât în banda de trecere, cât şi în cea de oprire.

Figura 3a.2. Pătratul modulului normalizat al funcţiei de transfer a unui FTJ de tip

Butterworth, pentru diverse valori ale ordinului filtrului

Page 497: Prelucrarea digitala a semnalelor

173

Se remarcă următoarele particularităţi: • La Ω= Ωc, indiferent de ordinul N, pătratul modului normalizat

este ½; • Creşterea lui N atrage după sine:

o îngustarea zonei de tranziţie; o aproximarea mai bună a cerinţelor FTJ ideal în sensul că

( ) 0H ≈Ω , respectiv ( ) 1H ≈Ω într-o porţiune mai mare din B.O., respectiv din B.T.;

• Caracteristica ( ) 2H Ω realizează o aproximare de tip maxim plat şi la Ω→∞, pentru această frecvenţă toate derivatele fiind nule;

Determinarea ordinului N care îndeplineşte cerinţa de atenuare s∆ la o frecvenţă specificată sΩ , arătată în figura 3a.3, se face cu ajutorul relaţiei (3a.1). Astfel, la sΩ=Ω

N

p

s

s 22

2

1

1

ΩΩ+

=∆

ε (3a.4)

de unde rezultă

)/lg()/lg(

)/lg(2]1)/1lg[( 2

pscs

sNΩΩ

=ΩΩ−∆

=εδ (3a.5)

unde, prin definiţie 2s 1/1 δ+=∆ . Astfel, filtrul Butterworth este

complet caracterizat de parametrii ε,, sN ∆ şi raportul ps /ΩΩ .

Figura 3a.3. Specificarea restricţiilor la proiectarea FTJ analogic de tip Butterworth

Page 498: Prelucrarea digitala a semnalelor

174

3a.2. Filtre Bessel

Ca şi filtrele Butterworth, filtrele Bessel au funcţia de transfer de tipul numai cu poli, adică:

( )sBKsHN

=)( (3a.6)

unde BN(s) este polinomul Bessel de ordinul N [1]. Acesta poate fi exprimat în forma

∑=

=N

k

kkN sasB

0)( (3a.7)

unde coeficienţii a k sunt daţi de expresia

NkkNk

kNa kNk ,...,1,0,)!(!2

)!2(=

−−

= − (3a.8)

Polinoamele Bessel pot fi generate recursiv cu ajutorul relaţiei [49] ( ) ( ) ( ) ( )sBssBNsB NNN 2

2112 −− +−= (3a.9)

cu iniţializarea: ( ) ( ) 1,1 10 +== ssBsB (3a.10)

O caracteristică importantă a filtrelor Bessel este aceea că au răspunsul de fază liniar în banda de trecere a filtrului. În figura 3a.4 se prezintă, comparativ, caracteristicile amplitudine – frecvenţă şi fază - frecvenţă pentru filtrele Butterworth şi Bessel de ordinul 4. Banda de tranziţie a filtrului Bessel este mare, comparativ cu alte tipuri de filtre. Din păcate, metodele de transformare ale filtrelor analogice în filtre digitale, nu conservă caracteristica de fază liniară a filtrelor Bessel analogice.

Figura 3a.4. Răspunsul de modul şi de fază pentru filtrele Butterworth şi Bessel de

ordinul N= 4.

Page 499: Prelucrarea digitala a semnalelor

175

3a.3 Filtre Cebîşev de tipul I Filtrele Cebîşev de tipul I sunt filtre numai cu poli, a căror caracteristică de modul prezintă ripluri egale în banda de trecere, iar în banda de oprire caracteristica este monoton descrescătoare. Filtrele Cebîşev sunt filtre optimale, în sensul că, pentru o bandă de trecere dată şi o atenuare specificată în banda de oprire, au cea mai mică regiune de tranziţie, ps Ω−Ω , dintre toate filtrele care au numai poli finiţi. Pătratul modulului funcţiei de transfer este dat de relaţia

( )

ΩΩ

+

pNC

H22

2

1

1

ε

(3a.11)

unde ε este un parametru al filtrului ce depinde de riplul din banda de trecere, iar CN(x) este polinomul Cebîşev de ordinul N definit cu relaţia

( )( )( )

>

≤=

1xpentru,xcoshNcosh

1xpentru,xcosNcosxC

1

1

N (3a.12)

Polinoamele Cebîşev pot fi generate cu relaţia recursivă ( ) ( ) ( )xCxxCxC NNN 11 2 −+ −= , 1>N ,cu ( ) ( ) xxCxC == 10 ,1 (3a.13)

Din definiţia (3a.12) se observă că 1. 1,1)( ≤≤ xxCN şi toţi N.

2. 1,1)( >> xxCN şi toţi N.

3. 1)1( =±NC . 4. Toate rădăcinile polinomului )(xCN sunt în intervalul 11 ≤≤− x .

1)1(2 =NC , iar )0(2NC este 0 sau 1, după cum N este impar, respectiv par.

În consecinţă,

( ) 2

2

11ε+

=Ω pH şi ( )

+= parNpentru,

11

imparNpentru,10H

2

2

ε (3a.14)

Ţinând cont de relaţiile (3a.12) şi (3a.14) rezultă reprezentările grafice pentru H(Ω) din figurile 3a.5a pentru N impar, respectiv 3a.5b pentru N par, ambele oscilând între 1 şi 1/(1+ε2)1/2 în banda de trecere.

Page 500: Prelucrarea digitala a semnalelor

176

În banda de oprire, caracteristica monoton descrescătoare a modulului funcţiei de transfer realizează o aproximare de tip maxim plat a valorii ideale zero, deoarece toate derivatele sale se anulează pentru Ω→∞. Panta de cădere a caracteristicii este cu atât mai mare cu cât ordinul filtrului este mai mare, iar pentru două filtre de acelaşi ordin panta este mai abruptă pentru cel cu ripluri mai mari în banda de trecere. Performanţele filtrului sunt complet determinate de parametrul ε ce fixează mărimea riplului în banda de trecere şi de ordinul N ce determină lăţimea benzii de tranziţie.

Figura 3a.5 Modulul funcţiei de transfer pentru filtrul analogic TJ Cebîşev de tipul I

(a ) pentru N=5 şi (b) pentru N=6 Parametrul ε se determină din riplul ∆p impus la proiectare, astfel:

( ) ( ) 11

11

11 2p

22

2p −

∆−=⇔

+=∆− ε

ε (3a.15)

Pentru găsirea ordinului N se impune condiţia ca la frecvenţa limită a benzii de oprire (Ωs), modulul funcţiei de transfer să ia valoarea ∆s.

( ) ( )2

212

222

)]/(coshcosh[11

/11

sps

psN

N

CH

∆=ΩΩ+

=

=ΩΩ+

−ε

ε (3a.16)

Din relaţia de mai sus rezultă

Page 501: Prelucrarea digitala a semnalelor

177

)/(cosh)/(cosh

)/(cosh]/)1/1[(cosh

1

1

1

21

psps

sNΩΩ

=ΩΩ

−∆= −

− εδε (3a.17)

unde, prin definiţie 2s 1/1 δ+=∆ .

Polii filtrului Cebîşev de tip I se află pe o elipsă în planul s, cu axa mare

ββ

21r

2

p1+

Ω= (3a.18)

şi axa mică β

β2

1r2

p2−

Ω= (3a.19)

unde N/1

2 11

++=

εεβ (3a.20)

Pentru un filtru de ordin N, poziţia polilor se determină mai uşor, localizând întâi polii pentru un filtru echivalent Butterworth de ordinul N pe un cerc de rază r1 sau r2 ca în figura 3a.6.

Figura 3a.6 Determinarea poziţiei polilor pentru un filtru Cebîşev de ordin N=3

Dacă se notează unghiul polilor filtrului Butterworth cu

Nkk 2/)12(2/ ππφ ++= (3a.21) atunci polii filtrului Cebîşev se află pe o elipsă de coordonate (xk,yk), k=0,1,...,N-1, unde

1,...,1,0,sin1,...,1,0,cos

1

2

−==−==

NkryNkrx

kk

kk

φφ

(3a.22)

Page 502: Prelucrarea digitala a semnalelor

178

3a.4. Filtre Cebîşev de tipul II Funcţia de transfer a filtrului Cebîşev II conţine atât poli cât şi zerouri şi caracteristica sa amplitudine – frecvenţă are o comportare monotonă în banda de trecere şi prezintă ripluri egale în banda de oprire. Zerourile sunt pe axa imaginară a planului s. Pătratului modulului funcţiei de transfer pentru filtrul trece jos Cebîşev de tipul II este

( ) ( )( )

+

ΩΩ

ΩΩ

s

ps

N

N

C

CH

2

22

2

1

1

ε

(3a.23),

unde )(xCN este polinomul Cebîşev de ordin N şi sΩ este frecvenţă începutului benzii de oprire. Caracteristica amplitudine frecvenţă a filtrului Cebîşev II este reprezentată în figura 3a.7a pentru N=5 şi în 3a.7b pentru N=6.

Figura 3a.7 Modulul funcţiei de transfer pentru filtrul analogic TJ Cebîşev de tipul II

(a ) pentru N=5 şi (b) pentru N=6 Zerourile filtrului sunt localizate pe axa imaginară la

1,...,1,0,sin

−=Ω

= Nkjsk

sk φ

(3a.24)

Polii sunt localizaţi în puncte de coordonate ),( kk wv , unde

1,...,1,0,22

−=+

Ω= Nk

yx

xvkk

ksk (3a.25)

Page 503: Prelucrarea digitala a semnalelor

179

1,...,1,0,22

−=+

Ω= Nk

yx

ywkk

ksk (3a.26)

unde coordonatele kx şi ky sunt definite de relaţiile (3a.22), cu β dat de relaţia

N

s

s

/1211

∆∆−+

=β (3a.27)

s∆ fiind riplul din banda de oprire. Din cele prezentate până acum se observă că filtrele Cebîşev sunt complet caracterizate de parametrii ε,, sN ∆ şi raportul ps ΩΩ / . Date fiind specificaţiile s∆,ε şi raportul ps ΩΩ / , ordinul filtrului se determină din condiţia ssjH ∆=Ω |(| .

)/(cosh)/(cosh

]1)/()/lg[(

]/))1(11lg[(1

1

2

222

pspsps

sssNΩΩ

=−ΩΩ+ΩΩ

∆+∆−+∆−= −

− εδεε (3a.28)

Observaţie. La aceleaşi specificaţii de proiectare, filtrele Cebîşev rezultă cu număr de poli mai mic decât filtrele Butterworth. Dacă, însă, se compară un filtru Butterworth cu unul Cebîşev, având acelaşi număr de poli şi aceleaşi specificaţii în B.T. (Banda de Trecere) şi B.O. (Banda de Oprire), filtrul Cebîşev are o bandă de tranziţie mai mică. 3a.5. Filtre eliptice Filtrele eliptice (denumite şi filtre Cauer) au o caracteristică de modul cu ripluri egale în ambele benzi, de trecere şi oprire, motiv pentru care li se mai spune şi filtre echiriplu. Aproximarea în sens Cebîşev a cerinţelor filtrului trece jos ideal este extinsă la ambele benzi. Pătratul modulului funcţiei de transfer are forma

( ) ( )Ω+=Ω 22

2

11

NFH

ε (3a.29)

unde ( )ΩNF este funcţia raţională Cebîşev sau funcţia eliptică iacobiană, introdusă pentru prima dată de Cauer în teoria circuitelor liniare, şi tabulată de Zverev (1967) [69] iar ε este un parametru ce depinde de riplul din banda de trecere. Funcţia ( )ΩNF se exprimă astfel:

Page 504: Prelucrarea digitala a semnalelor

180

( )

ΩΩΩ−Ω

Ω−Ω

ΩΩ−ΩΩ−Ω

∏−

=

=

21

124

02

22

2

2

124

02

22

1

N

i i

i

N

i i

i

N

C

CF

pentru

pentru

N

N

impar

par

(3a.30)

Din relaţia precedentă se observă că funcţia ( )ΩNF are polii şi zerourile nebanale în număr egal, polii fiind plasaţi simetric faţă de zerouri în raport cu frecvenţa 0Ω , definită ca medie geometrică a frecvenţelor limită ale benzilor de trecere şi oprire

spΩΩ=Ω20 (3a.31)

Zerourile filtrului eliptic se găsesc pe axa Ωj a planului s. În figura 3a.8 este reprezentat pătratul modulului funcţiei de transfer pentru un filtru eliptic de ordinul N=4 şi N=5.

Figura 3a.8. Caracteristicile de modul ale filtrelor eliptice trece jos analogice : a) N=4,

b) N=5

Din relaţiile (3a.29) şi (33a.30) se observă că

( ) 0=ΩNF ⇒ ( ) 12 =ΩH ⇒

Ω=Ω=ΩΩ=Ω

impar,şi0par,

NN

i

i

( ) ∞→ΩNF ⇒ ( ) 02 =ΩH ⇒

∞→ΩΩΩ=Ω

ΩΩ=Ω

impar,şi

par,20

20

NN

i

i (3a.32)

Aceste relaţii conduc la următoarele observaţii:

Page 505: Prelucrarea digitala a semnalelor

181

a) pentru N par ( ) 2ΩH prezintă N/2 maxime (de valoare 1) în banda de trecere şi N/2 minime (de valoare 0) în banda de oprire; pentru N impar sunt (N-1)/2+1=(N+1)/2 maxime în B.T. şi tot (N+1)/2 minime în B.O. b) numărul total al extremelor locale (minime şi maxime) este 2N pentru [ ]∞∈Ω ,0 , N extreme în B.T. şi tot N extreme în B.O. Egalitatea numărului de extreme din cele două benzi este un dezavantaj al filtrelor eliptice în sensul că din punct de vedere al minimizării erorii maxime (la aproximarea Cebîşev) ar fi de dorit ca distribuirea extremelor erorii între cele două benzi să se facă proporţional cu ponderile benzilor în intervalul de aproximare considerat.

Ordinul filtrului necesar îndeplinirii specificaţiilor referitoare la riplul din banda de trecere, p∆ , riplul din banda de oprire, s∆ şi raportul

sc ΩΩ / este dat de relaţia [58]:

( ) ( )( ) ( )

( ) ( )

( ) ( )2

2

22

222

)/(1/

)/1/

)/(11/

1/)1(1/

sp

sp

spss

sssp

KK

KK

KK

KKN

ΩΩ−

−ΩΩ

=

=ΩΩ−∆−∆

∆−+∆−ΩΩ=

δε

δε

ε

ε

(3a.33)

unde ( )K este integrala eliptică complexă de prima speţă, definită ca

( )( )∫

Φ−

Φ= 2

0 2122 sin1

π

xdxK (3a.34)

Proiectarea cea mai eficientă se produce când eroarea de aproximare este întinsă în mod egal peste bandă de trecere şi banda de oprire. Filtrele eliptice întrunesc aceste condiţii şi, în comparaţie cu celelalte tipuri de filtre, pentru aceleaşi specificaţii date, rezultă cu ordinul cel mai mic. Echivalent, pentru un set de specificaţii şi un ordin dat, filtrele eliptice au cea mai mică bandă de tranziţie. Filtrele eliptice sunt considerate optimale (întocmai ca filtrele FIR proiectate cu metoda de schimb Remez), în sensul că, pentru un acelaşi ordin N şi aceleaşi frecvenţe limită pΩ , sΩ , realizează cele mai mici ripluri p∆ , s∆ , comparativ cu toate celelalte tipuri de filtre.

Page 506: Prelucrarea digitala a semnalelor

182

Răspunsul în fază al filtrelor eliptice este puternic neliniar în banda de trecere, în special spre capetele acesteia, în comparaţie cu celelalte tipuri de filtre, motiv pentru care filtrele Butterworth şi Cebîşev se preferă în aplicaţii care necesită o au caracteristică de fază bună.

Page 507: Prelucrarea digitala a semnalelor

251

CAPITOLUL 5

EFECTELE LUNGIMII FINITE A CUVINTELOR ÎN FILTRAREA DIGITALĂ

5. 1. Introducere

Teoria filtrelor digitale s-a bazat pe presupunerea că atât

semnalele, cât şi parametrii filtrelor pot avea orice valoare finită. În realitate, datorită limitării lungimilor cuvintelor din orice sistem digital, sunt permise numai valori discrete ale amplitudinii semnalelor, respectiv coeficienţilor. Luând în consideraţie aceste valori discrete în relaţiile care caracterizează filtrele, vor rezulta ecuaţii neliniare, care, în general, nu vor putea fi riguros prelucrate.

Implementarea sistemelor discrete, fără a considera efectele lungimii finite a cuvintelor, inerente în orice implementare digitală, a condus la obţinerea unor caracteristici liniare. De fapt, au fost analizate sisteme modelate liniar, dar ale căror realizări digitale sunt implicit neliniare. Această problemă reprezintă un dezavantaj major al filtrelor digitale şi, prin urmare, analiza efectelor lungimii finite a cuvintelor asupra performanţelor filtrelor constituie o etapă importantă în proiectarea filtrelor digitale. În cazul filtrelor recursive, caracteristicile neliniare rezultate din operaţia de cuantizare din multiplicatoare, pot cauza un comportament oscilatoriu la ieşirea filtrelor, chiar şi în absenţa semnalului de intrare. Mai mult, în sumatoare poate apărea depăşirea aritmetică care produce, de asemenea, oscilaţii la ieşire. În cazul calculatoarelor care lucrează cu lungimi mari ale cuvintelor (adică au un număr mare de biţi disponibili pentru reprezentarea numerelor), efectele cuantizării pot fi nesemnificative. Acestea cresc cu descreşterea numărului de biţi. Din acest motiv sunt necesare modele matematice care să permită estimarea efectelor lungimii finite a cuvintelor asupra performanţelor filtrelor. Un model simplu este

Page 508: Prelucrarea digitala a semnalelor

252

cel care se bazează pe presupunerea că erorile de cuantizare sunt mici în comparaţie cu nivelul semnalului sau al parametrului, adică este o cuantizare „fină” în care erorile pot fi tratate ca zgomot şi problema devine liniară [23]. Principalele tipuri de erori de cuantizare care apar în filtrarea digitală sunt:

1. Erori de cuantizare ale semnalului de intrare în conversia analog – digitală (A/D);

2. Erori rezultate din cuantizarea coeficienţilor filtrelor digitale;

3. Erori rezultate din rotunjirea produselor; 4. Depăşirea aritmetică; 5. Oscilaţii cu cicluri limită.

Dintre aceste tipuri de efecte, erorile de cuantizare ale semnalului de intrare au loc în afara filtrului, înaintea calculelor interne, restul efectelor sunt interne filtrului şi influenţează metoda prin care sistemul va fi implementat.

De exemplu, pentru un filtru digital de ordinul întâi [ ] [ ] [ ]nxnAyny +−= 1 (5.1)

eroarea de tipul 1 se referă la cuantizarea intrării [ ]nx , eroarea de tipul 2 apare în reprezentarea parametrului A iar cea de tipul 3 apare la formarea produsului [ ]1−nAy , necesar la fiecare iteraţie. Elementul de bază dintr-un calculator numeric este circuitul cu două stări echiprobabile, căruia i se asociază o informaţie de 1 bit. N astfel de dispozitive pot fi cascadate pentru a forma un registru care conţine N biţi de informaţie. Implementarea unui filtru digital recursiv de ordinul întâi descris de ecuaţia (5.1) şi redată în figura 5.1, ilustrează cele mai importante operaţii ce trebuie efectuate.

Ieşirea anterioară ]1[ −ny este stocată în registrul de ieşire sub forma unui număr pe N biţi. Acesta este multiplicat cu numărul pe N biţi care reprezintă coeficientul A care a fost stocat în registrul pentru coeficienţi. Produsul ]1[ −nyA (după rotunjire la N biţi) este adunat la intrarea curentă ][nx (de asemenea un număr pe N biţi) pentru a forma ieşirea actuală ][ny care este stocată pentru multiplicare cu A în iteraţia următoare. Întreaga procedură începe cu o valoare iniţială ]1[−y stocată în registrul de ieşire. Aceasta poate fi sau nu, egală cu zero. Filtrele de ordin superior pot fi implementate într-un mod similar.

Page 509: Prelucrarea digitala a semnalelor

253

Figura 5.1. Implementarea unui filtru recursiv de ordinul întâi

Diferitele structuri de implementare ale unui sistem descris de ecuaţii cu diferenţe cu coeficienţi constanţi sunt echivalente dacă furnizează aceeaşi ieşire pentru o intrare dată, presupunând calculele interne ca fiind efectuate cu precizie infinită. Acestea nu sunt echivalente când sunt realizate cu precizie finită.

Trei factori importanţi contribuie la alegerea unei anumite realizări a filtrelor:

- complexitatea calculelor, - necesarul de memorie, - efectele lungimii finite a cuvintelor. Efectul lungimii finite a cuvintelor reprezintă un factor important

în implementarea sistemelor digitale de prelucrare a semnalelor şi trebuie luat în calcul la realizarea filtrelor digitale, deoarece limitarea numărului de biţi conduce la degradarea performanţelor filtrelor digitale. Înainte de a examina aceste efecte, se va prezenta o scurtă introducere în aritmetica digitală.

5.2. Reprezentarea numerelor

În procesarea digitală a semnalelor analogice, eşantioanele semnalului analogic sunt reprezentate în format digital. În principiu, procesul de conversie A/D implică eşantionarea semnalului analogic şi reprezentarea eşantioanelor ca secvenţe de biţi care definesc amplitudinea cuantizată a semnalului. Principala caracteristică a aritmeticii digitale constă în numărul limitat (de obicei fix) de biţi folosiţi în reprezentarea numerelor. Această constrângere are ca rezultat precizia finită a

Page 510: Prelucrarea digitala a semnalelor

254

calculelor, care conduce la erori şi efecte neliniare în comportamentul filtrelor digitale. În cadrul reprezentării binare a numerelor reale sunt mai multe metode prin care un eşantion al unui semnal analogic poate fi reprezentat în format binar. Clasa reprezentărilor binare poate fi împărţită în reprezentările în virgulă fixă, virgulă mobilă şi virgulă mobilă cu blocuri.

5.2.1. Reprezentarea numerelor în virgulă fixă Reprezentarea numerelor în virgulă fixă este generalizarea

reprezentării zecimale, în care numerele din stânga virgulei reprezintă partea întreagă a numărului, iar cele din dreapta virgulei, partea fracţionară.

( ) ( )10,01 −≤≤== ∑−=

−−− rbrbbbbbx i

b

ai

iirba (5.2)

unde ib reprezintă cifra, r – baza, a+1 – numărul de cifre ale părţii întregi şi b – numărul de cifre ale părţii fracţionare. Datorită vitezei şi costului scăzut al părţii hard asociate, reprezentarea în virgulă fixă este deseori preferată în computere mai puţin performante şi în circuite dedicate care lucrează în timp real. Cea mai cunoscută reprezentare este cea pentru care r=2, în care numerele ib se numesc numere binare sau biţi şi pot lua valorile 0,1, obţinându-se codul binar natural direct. „Virgula binară” dintre b0 şi b1 nu există fizic în calculator. Circuitele logice ale acestuia sunt proiectate astfel încât calculele să aibă ca rezultat numere ce corespund poziţiei virgulei binare. Totuşi, în cele ce urmează, se va folosi virgula pentru a sublinia caracterul fracţionar al numărului reprezentat. Folosind un format întreg pe n biţi (a=n-1, b=0), se pot reprezenta întregi fără semn cuprinşi în domeniul 0 ÷(2n-1). De obicei se foloseşte formatul fracţionar (a=0, b=n-1), cu virgula binară între b0 şi b1, care permite reprezentarea numerelor în domeniul 0 ÷ (1 - 2-n). Indiferent dacă codul binar reprezintă o fracţie, un întreg, sau ambele, primul bit din stânga este numit cel mai semnificativ bit (most significant bit, MSB) iar bitul cel mai din dreapta, cel mai puţin semnificativ bit (least significant bit, LSB). În reprezentarea unei fracţii, MSB are o pondere de 2-1=1/2 iar LSB are o pondere de 2-b=1/2b, unde b este numărul de biţi pe care este reprezentată fracţia. Ponderea 2-b=1/2b desemnată de LSB este numită şi rezoluţie.

Page 511: Prelucrarea digitala a semnalelor

255

Orice întreg sau număr cu parte întreagă şi fracţionară poate fi reprezentat în format fracţionar prin factorizarea termenului ra în relaţia (5.2). În această notaţie un cuvânt de cod de a+1 biţi, cum ar fi 10011, corespunde numărului întreg

1916212120202121 43210 =++=⋅+⋅+⋅+⋅+⋅=A Pe de altă parte, numărul 0,10011 reprezintă o fracţie corespunzătoare numărului zecimal

3219

321

161

212121202021 54321 =++=⋅+⋅+⋅+⋅+⋅= −−−−−B

Se observă că o deplasare a virgulei binare spre stânga cu n poziţii corespunde unei împărţiri a numărului cu 2n, iar o deplasare a virgulei binare spre dreapta cu n poziţii corespunde unei înmulţiri a numărului cu 2n.

Pentru a transforma un număr zecimal în corespondentul său binar, se procedează astfel: se divide în mod repetat numărul zecimal din stânga virgulei la 2, reţinându-se restul. Acesta, scris în ordine inversă (de la dreapta spre stânga) este reprezentarea binară a părţii întregi. Partea din dreapta virgulei se multiplică în mod repetat cu 2, înlăturând de fiecare dată partea zecimală şi reţinând partea întreagă. Scriind aceasta în ordine normală, (de la stânga la dreapta), se obţine reprezentarea binară a părţii fracţionare.

Exemplul 5.1. Să se transforme numărul zecimal 627,625 în format binar. Soluţie. Partea întreagă Partea zecimală 627 : 2 = 313 1 0.625 x 2 = 1.250 1 313 : 2 = 156 1 0.250 x 2 = 0.500 0 156 : 2 = 78 0 0.500 x 2 = 1.000 1 78 : 2 = 39 0 0.000 x 2 = 0.000 0 39 : 2 = 19 1 19 : 2 = 9 1 9 : 2 = 4 1 4 : 2 = 2 0 2 : 2 = 1 0 1 : 2 = 0 1 Prin urmare ( ) ( )210 101,1001110011625,627 = Operaţiile cu numere binare se execută similar celor zecimale.

Page 512: Prelucrarea digitala a semnalelor

256

1. Adunarea 0 + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 0 se transportă 1

2. Scăderea 0 – 0 = 0 1 – 0 = 1 0 – 1 = 1 se importă 1 1 – 1 = 0

3. Multiplicarea 0 x 0 = 0 1 x 0 = 0 0 x 1 = 0 1 x 1 = 1

4. Împărţirea 1 : 1 = 1 0 : 1 = 0 împărţirea la 0 nu este definită.

Aritmetica în virgulă fixă este potrivită atât pentru operaţii cu

numere întregi, cât şi fracţionare. Dacă este necesară rotunjirea produsului a două numere, este mai

bine a se limita reprezentarea în virgulă fixă a numerelor fracţionare, decât a celor care au atât parte întreagă, cât şi fracţionară, deoarece reducerea numărului de biţi ai părţii întregi ar cauza erori mari.

În conversia semnalelor analogice bipolare, este necesar un bit adiţional pentru a purta informaţia de semn. De obicei cel mai semnificativ bit este rezervat semnului numărului, cu convenţia ca zero să indice un număr pozitiv, iar unu, un număr negativ. Rezultatul este un cod bipolar. Există mai multe posibilităţi de reprezentare a codurilor bipolare binare, alegerea dintre acestea făcându-se în funcţie de avantajele şi dezavantajele pe care le prezintă fiecare pentru aplicaţia respectivă. Patru metode sunt frecvent folosite pentru reprezentarea numerelor bipolare. În continuare se va considera că numerele sunt reprezentate pe N=b+1 biţi, din care unul pentru semn. Formatul mărime cu semn sau semn – valoare este cea mai simplă metodă pentru reprezentarea numerelor cu semn în format digital. Un zero în poziţia MSB reprezintă un număr pozitiv, iar un unu în aceeaşi poziţie

Page 513: Prelucrarea digitala a semnalelor

257

reprezintă un număr negativ. Restul de b biţi reprezintă modulul sau amplitudinea numărului. În cazul numerelor fracţionare, reprezentarea mărime cu semn pentru un număr pozitiv 0≥x este de forma

,...,0)( 21 bms bbbx = (5.3) iar pentru numărul negativ bN bbbxx 21,0−=−= , de forma

,...,1)( 21 bmsN bbbx = (5.4) Aşa cum s-a precizat deja, virgula nu există fizic în reprezentarea numărului, dar, în cele ce urmează va fi utilizată pentru a specifica numerele fracţionare. Se observă că în acest format zero are două reprezentări: 0,0…0 şi 1,00…0. Valoarea zecimală a unui număr fracţionar pozitiv este

( ) ∑=

−=b

i

iims bx

12 , (5.5)

iar a unui număr fracţionar negativ este

∑=

−−=b

i

iimsN bx

12)( . (5.6)

Modulul unui număr fracţionar reprezentat în formatul mărime cu semn este dat de

∑=

−==b

i

iiN bxx

1

2 . (5.7)

Reprezentarea în complement faţă de unu este identică celei în reprezentarea mărime cu semn pentru numere pozitive, dar diferă prin modul cum sunt formate numerele negative. În acest format, un număr negativ este obţinut prin complementarea numărului pozitiv corespunzător. În cazul formatului fracţionar, numerele pozitive se reprezintă ca în relaţia (5.3), iar cele negative bN bbbxx 21,0−=−= sub forma

bbCN bbbbbbx ...,1...,0)( 21211 == (5.8) Plecând de la relaţia (5.8), reprezentarea în complement faţă de unu a unui număr negativ fracţionar mai poate fi exprimată în forma

∑=

−− −−=−+×=b

i

biiCN xbx

1

01 222)1(21)( (5.9)

Se observă ambiguitate în reprezentarea lui zero, ca 0,0…0 sau 1,1…1.

Page 514: Prelucrarea digitala a semnalelor

258

Modulul numărului negativ bbbbb 210 , reprezentat în complement faţă de unu este

bb

i

iiN bx −

=

− −−= ∑ 2211

(5.10)

Valoarea zecimală a numărului negativ bbbbb 210 , reprezentat în complement faţă de unu este

( )( ) bb

i

iiCN bx −

=

− ++−= ∑ 2211

101 (5.11)

Spre exemplu, reprezentarea lui −3/8 este 1,100, care este complementul faţă de unu al lui 0,011 (3/8). Reprezentarea în complement faţă de doi este identică cu formatul mărime cu semn în cazul numerelor pozitive. Prin urmare numerele pozitive sunt reprezentate cu un zero în poziţia bitului de semn. Pentru a obţine reprezentarea în complement faţă de doi a unui număr negativ, se scrie modulul acestuia în formatul mărime cu semn, se inversează biţii acestei reprezentări şi se adună o unitate logică în poziţia LSB. Similar, un număr fracţionar pozitiv se reprezintă sub forma (5.3), iar numărul fracţionar negativ bN bbbxx 21,0−=−= , sub forma

010,0,0)( 212 += bcN bbbx (5.12) Semnul “+” indică adunarea modulo 2 care ignoră bitul de transport, dacă acesta este prezent în MSB. Plecând de la relaţia (5.12), reprezentarea în complement faţă de doi a unui număr fracţionar negativ mai poate fi exprimată în forma

( ) xbx bb

i

iiCN −=+−+= −

=

−∑ 222)1(11

2 , (5.12’)

adică, un număr fracţionar negativ este complementul faţă de doi al numărului pozitiv corespunzător, care se obţine scăzând numărul pozitiv din 2, reprezentat în binar. De aici provine denumirea formatului. Din (5.9) şi (5.12’) rezultă

( ) ( ) bCNCN xx −+= 212 (5.13)

Valoarea zecimală a unui număr bbbbb 210 , reprezentat în complement faţă de doi, este

∑=

−+−=b

i

iiC bbx

1

00102 22)( (5.14)

Page 515: Prelucrarea digitala a semnalelor

259

unde 00 =b , pentru numere pozitive şi 10 =b , pentru numere negative. Modului numărului negativ reprezentat în complement faţă de doi este

∑=

−−=b

i

iiN bx

121 (5.15)

De exemplu, reprezentarea în complement faţă de doi a numărului −3/8 se obţine din complementarea lui 0,011 (3/8), rezultând 1,100, şi apoi adăugând 0,001. Rezultatul final este 1,101. Codul binar deplasat sau offsetul binar este similar codului binar direct, obţinându-se din acesta prin deplasarea în domeniul valorilor negative cu jumătate din întreaga scală. Cu b+1 biţi se pot reprezenta 2b+1 numere. Pentru un cod bipolar există 2M numere, cu M=2b, cuprinse în intervalul -2b ÷(2b -1) pentru numere întregi şi în intervalul -1÷(1-2-b) pentru numere fracţionare. În acest format cel mai mic număr negativ este reprezentat de un număr format din b+1 biţi de zero iar cel mai mare număr pozitiv este format din b+1 biţi de unu. În acest caz zero are o singură reprezentare şi, prin urmare, se evită ambiguitatea întâlnită la formatul mărime cu semn. Marele dezavantaj al acestei notaţii este dat de posibilele erori ce pot apărea la citirea MSB-ului, în loc de unu, zero sau invers, rezultând o eroare de amplitudine mare. Dacă se compară formatul complement faţă de doi şi offsetul binar, se constată că ele diferă prin MSB si, prin urmare, este uşor a se trece de la o reprezentare la alta. În Tabelul 5.1 sunt date codurile bipolare prezentate pentru reprezentarea numerelor întregi pe 4 biţi, dintre care unul pentru semn. TABEL 5.1 Coduri bipolare

Număr

Formatul mărime cu

semn

Ofset binar

Complement faţă de doi

Complement faţă de unu

7 0111 1111 0111 0111 6 0110 1110 0110 0110 5 0101 1101 0101 0101 4 0100 1100 0100 0100 3 0011 1011 0011 0011 2 0010 1010 0010 0010 1 0001 1001 0001 0001 0 0000 1000 0000 0000

Page 516: Prelucrarea digitala a semnalelor

260

0 1000 1000 0000 1111 -1 1001 0111 1111 1110 -2 1010 0110 1110 1101 -3 1011 0101 1101 1100 -4 1100 0100 1100 1011 -5 1101 0011 1011 1010 -6 1110 0010 1010 1001 -7 1111 0001 1001 1000 -8 - 0000 - -

În Tabelul 5.2 sunt date, comparativ, diferite reprezentări ale

numerelor fracţionare pentru o lungime de 3 biţi a cuvintelor. Tabelul 5. 2

Echivalentul zecimal folosind reprezentarea Număr binar Mărime şi

semn Complement faţă de 1

Complement faţă de 2

0,11 3 / 4 3 / 4 3 / 4 0,10 2 / 4 2 / 4 2 / 4 0,01 1 / 4 1 / 4 1 / 4 0,00 0 0 0 1,00 - 0 - 3 / 4 - 4 / 4 = - 1 1,01 -1 / 4 - 2 / 4 - 3 / 4 1,10 - 2 / 4 - 1 / 4 - 2 / 4 1,11 - 3 / 4 - 0 - 1 / 4

Din tabel se observă, aşa cum s-a mai specificat, că există două

reprezentări pentru zero în format mărime cu semn şi complement fată de 1 şi nici o reprezentare pentru –1. Formatul complement faţă de 2 are o singură reprezentare pentru 0 şi poate reprezenta numere cuprinse între –1 şi 221 −− sau, în general, între –1 şi ( )121 −−− N pentru un registru de N biţi. Reprezentarea în complement faţă de 2 este adesea utilizată în implementarea filtrelor digitale datorită uşurinţei efectuării operaţiilor de adunare şi scădere, caz în care descăzutul se adună cu complementul faţă de doi a scăzătorului. Diferenţa dintre numărul maxim şi cel minim ce poate fi reprezentată se numeşte domeniu dinamic.

Page 517: Prelucrarea digitala a semnalelor

261

Exemplul 5.2. Folosind reprezentarea în complement faţă de 2 pe 4 biţi să se

efectueze operaţiile a) A - B şi b) B - A unde A = 0,250 şi B = 0,625 Soluţie

a) zecimal complement faţă de 2 0,250 - 0,010 + 0,625 1,011 -0,375 1,101 = - 0,375 b) 0,650 - 0,101 + 0,250 1,110 0,375 0,011 = 0,375

Se observă că în reprezentarea în complement faţă de 2 bitul de transport în poziţia cea mai semnificativă este neglijat.

Adunarea şi scăderea în complement faţă de 1 sunt similare, dar bitul de transport din poziţia cea mai semnificativă este deplasat în poziţia celui mai puţin semnificativ bit.

De exemplu, 48

38

18

− = . În formatul complement faţă de unu,

transportul din MSB, dacă este prezent, este purtat spre LSB. Astfel,

calculul 48

38

18

− = devine 0,100⊕ 1,100=0,000⊕ 0,001=0,001.

Adunarea şi scăderea în sistemul mărime cu semn sunt mai complexe şi, ca urmare, acesta este folosit mai mult la multiplicare, care se efectuează prin multiplicarea modulelor şi stabilind semnul produsului.

Exemplul 5.3. Să se multiplice numerele 0,625 şi 0,250 folosind reprezentarea

mărime cu semn. Soluţie.

Zecimal Mărime cu semn 0,625 0,101 0,250 0,010 0000 000 3125 101 1250 000 0,156250 0,001010 = 0,156250

Multiplicarea în aritmetica complement fată de 1 şi faţă de 2 este mai dificilă şi necesită un hard sau algoritmi speciali.

Page 518: Prelucrarea digitala a semnalelor

262

Dacă rezultatul unei operaţii aritmetice depăşeşte numărul maxim ce poate fi reprezentat pe b biţi, apare depăşirea. În procesarea digitală se foloseşte, de obicei, formatul fracţionar, numerele care reprezintă mărimile ce intervin în procesare şi rezultatele operaţiilor aritmetice sunt scalate, astfel încât modulul lor să nu depăşească valoarea 1.

La multiplicarea numerelor fracţionare, nu există probleme de depăşire în cele trei aritmetici. Depăşirea poate apărea numai când suma numerelor fracţionare este mai mare decât 1. Dacă depăşirea apare într-o etapă intermediară a adunării, în final nu va exista depăşire, cu condiţia ca valoarea absolută a rezultatului final să fie subunitară.

Exemplul 5.4. Să se adune 0,3125 + 0,7500 + (-0,6250) folosind aritmetica în

complement fată de 1 pe cinci biţi. Soluţie.

zecimal complement faţă de 1 0,3125 0,0101 +0,7500 0,1100 1,0625 1,0001 → incorect, MSB = 1 implică număr negativ -0,6250 1,0101 0,4375 0,0111 → ultimul 1 se datorează transportului

Exemplul 5.5.

Să se exprimă fracţiile 78

şi − 78

în formatele: mărime cu semn,

complement faţă de 1 şi complement faţă de 2.

Soluţie. x = 78

, este reprezentat ca 2-1+2-2+2-3, care, în formatul

mărime cu semn conduce la 111,0=x , iar x = − 78

este reprezentat ca x =

1,111. Reprezentarea în complement faţă de unu şi faţă de doi a lui x = 78

este aceeaşi ca formatul mărime cu semn, adică 111,0=x . Reprezentarea

în complement faţă de unu a lui x = − 78

este x1C = 1,000 şi în

complement faţă de doi este 1,001 = 0,001+1,000 = x 2C .

Page 519: Prelucrarea digitala a semnalelor

263

Deşi sunt posibile o mare varietate de alte reprezentări în virgulă fixă, cele descrise anterior sunt cele mai utilizate în practică. Cele mai multe procesoare de semnal în virgulă fixă folosesc aritmetica în complement faţă de doi. Aritmetica complementului faţă de doi este de fapt aritmetica modulo-2b+1 (adică orice număr care depăşeşte domeniul, este redus la acest domeniu, prin scăderea celui mai apropiat multiplu de 2b+1). La adunarea sau scăderea a două numere în virgulă fixă, fiecare de b biţi lungime (cu un bit adiţional de semn), rezultatul este un număr de b biţi. Dacă rezultatul adunării depăşeşte cel mai mare număr care poate fi reprezentat pe b biţi, apare depăşirea. Singura metodă pentru evitarea acestei probleme este creşterea numărului de biţi din acumulator şi, prin urmare, creşterea gamei dinamice care poate fi acoperită. În general, înmulţirea a două numere în virgulă fixă, fiecare în lungime de b biţi, are ca rezultat un produs de lungime 2b biţi. În aritmetica cu virgulă fixă, produsul este de obicei trunchiat sau rotunjit la b biţi, ceea ce conduce la o eroare de trunchiere sau rotunjire cauzată de eliminarea celor mai puţin semnificativi b biţi.

Depăşirea în cazul adunării numerelor în reprezentarea în aritmetica în virgulă fixă este un dezavantaj cauzat de domeniul dinamic redus. Aritmetica în virgulă mobilă nu prezintă acest dezavantaj.

5.2.2. Reprezentarea numerelor în virgulă mobilă Reprezentarea în virgulă fixă a numerelor, permite acoperirea unui domeniu dinamic, xmax-xmin cu o rezoluţie

1

minmax

−−

=∆m

xx, (5.16)

unde m=2b+1 este numărul de nivele, iar b+1 numărul de biţi. O caracteristică de bază a reprezentării în virgulă fixă este că rezoluţia este fixă. În plus, ∆ creşte direct proporţional cu creşterea domeniului dinamic. Reprezentarea în virgulă mobilă poate fi folosită ca o metodă de acoperire a unui domeniu dinamic mai larg. Reprezentarea în virgulă mobilă cel mai des întâlnită în practică constă dintr-o mantisă M, care este partea fracţionară a numărului şi se încadrează în domeniul 1/2 ≤ M < 1, înmulţită cu factorul exponenţial 2E unde exponentul E este un întreg pozitiv sau negativ. Un număr X, este reprezentat ca: EMX 2⋅= .

Page 520: Prelucrarea digitala a semnalelor

264

Figura 5.2 Reprezentarea în virgulă mobilă

Mantisa şi exponentul necesită fiecare câte un bit de semn pentru reprezentarea numerelor pozitive sau negative. Deoarece mantisa este o fracţie cu semn, se poate folosi oricare din reprezentările în virgulă fixă descrise anterior. De exemplu, numărul X1=5 este reprezentat de următoarea mantisă si exponent: M1=0,101000 E1=011

în timp ce numărul X2=38

este reprezentat de următoarea mantisă şi

exponent: M2=0,110000 E2=101 Dacă cele două numere se înmulţesc, mantisele sunt înmulţite şi exponenţii adunaţi. Prin urmare produsul celor două numere date mai sus este: 001010

2121 2)111100,0(2)011110,0(2 21 ⋅=⋅=⋅⋅=⋅ +EEMMXX Împărţirea a două numere reprezentate în virgulă mobilă se efectuează prin împărţirea mantiselor şi scăderea exponenţilor.

( )2222

1

2

1 EE

MM

XX −⋅=

Adunarea a două numere în virgulă mobilă necesită ca exponenţii să fie egali. Aceasta se poate obţine deplasând virgula binară a mantisei celui mai mic număr spre stânga şi compensând prin creşterea corespunzătoare a exponentului. Atunci numărul X2 poate fi exprimat în forma M2=0,000011 E2=011 Cu E1=E2, se pot aduna cele două numere X1 şi X2. Rezultatul este

Page 521: Prelucrarea digitala a semnalelor

265

01121 2)101011,0( ⋅=+ XX

Se observă că operaţia de deplasare, impusă de egalarea exponenţilor lui X2 şi X1, poate conduce la o precizie mai mică în reprezentarea lui X2. În exemplul anterior, mantisa pe şase biţi a fost suficient de lungă pentru a se face deplasarea a patru biţi la dreapta pentru M2, fără a pierde nici unul. Totuşi o deplasare a cinci biţi va cauza pierderea unui singur bit iar deplasarea a şase biţi va conduce la mantisa M2=0,000000; de aceea aceasta va trebui rotunjită după deplasare astfel încât M2=0,000001. Eroarea de depăşire apare la multiplicarea a două numere în virgulă mobilă când suma exponenţilor depăşeşte domeniul dinamic al reprezentării în virgulă fixă a exponentului. Comparând reprezentarea în virgulă fixă cu cea în virgulă mobilă, cu acelaşi număr total de biţi, rezultă că reprezentarea în virgulă mobilă permite acoperirea unui domeniu mai larg prin varierea rezoluţiei în acel interval. Rezoluţia scade odată cu creşterea mărimii numerelor succesive. Cu alte cuvinte, distanţa succesivă dintre două numere reprezentate în virgulă mobilă creşte odată cu creşterea numerelor în mărime. Astfel, pentru acoperirea aceluiaşi domeniu dinamic cu ambele reprezentări, în virgulă fixă şi virgulă mobilă, reprezentarea în virgulă mobilă oferă rezoluţie fină pentru numere mici, dar rezoluţie slabă pentru numere mari, spre deosebire de reprezentarea în virgulă fixă, care oferă o rezoluţie uniformă în reprezentarea numerelor. De exemplu, pentru un calculator care lucrează pe 32 biţi, este posibilă reprezentarea a 232 numere. Dacă se doreşte reprezentarea întregilor pozitivi începând cu zero, cel mai mare număr întreg ce poate fi reprezentat este: 232-1=4.294.967.295. Distanţa dintre două numere succesive (rezoluţia) este 1. Altfel, se poate folosi bitul cel mai din stânga ca bit de semn şi ceilalţi 31 de biţi rămaşi pentru valoare. Într-un astfel de caz reprezentarea în virgulă fixă permite acoperirea domeniului -(231-1)= -2.147.483.647 la (231-1)= 2.147.483.467 tot cu o rezoluţie de 1. Dacă, însă, se alocă 10 biţi pentru partea fracţionară, 21 de biţi pentru partea întreagă şi un bit pentru semn, această reprezentare permite acoperirea domeniul dinamic:

)22(2)12( 10211031 −− −−=⋅−− la 10211031 222)12( −− −=⋅− adică de la -2.097.151,999 la 2.097.151,999

Page 522: Prelucrarea digitala a semnalelor

266

În acest caz, rezoluţia este 2-10. Prin urmare domeniul dinamic a fost scăzut cu un factor de aproximativ 1000 (210 mai exact), în timp ce rezoluţia a crescut cu acelaşi factor. Pentru comparaţie, se presupune că cei 32 biţi ai cuvântului sunt folosiţi pentru a reprezenta numere în virgulă mobilă astfel: mantisa pe 23 de biţi plus un bit de semn şi exponentul cu 7 biţi plus un bit de semn. Cel mai mic număr, în modul, va avea reprezentarea:

semn 23 biţi semn 7 biţi

0, 100.....0 1 1111111 = 12

x 2-127 ≈ 0,3 x 10-38

În cealaltă extremă, cel mai mare număr care poate fi reprezentat cu acest format în virgulă mobilă este: semn 23 biţi semn 7 biţi 0, 11.....1 0 1111111 = (1-2-23) x 2127 ≈ 1,7 x 1038

S-a obţinut un domeniu dinamic de aproximativ 1076, dar cu o rezoluţie variabilă, adică rezoluţie fină pentru numere mici şi rezoluţie slabă pentru numere mari.

5.2.3. Reprezentarea în virgulă mobilă pe bloc

Acest mod de reprezentare a numerelor este un hibrid între sistemele cu virgulă fixă şi cele cu virgulă mobilă. În acest caz, în loc ca fiecare număr să fie reprezentat individual, ca în cazul sistemelor cu virgulă mobilă, un bloc sau un şir de numere are un exponent fix asociat. Acest exponent fix este obţinut din examinarea tuturor numerelor din bloc şi reprezentarea celui mai mare număr ca un număr cu virgulă mobilă cu o mantisă normalizată. Avantajul unui astfel de sistem constă în folosirea unui singur exponent pentru un bloc mare de numere. Astfel sistemul este potrivit pentru implementarea algoritmilor ce necesită un volum mare de calcule.

5.3. Efectele cuantizării în conversia A/D a semnalelor

Operaţiile de bază îndeplinite de un convertor A/D sunt:

1. Să eşantioneze semnalul în mod periodic şi cu rată de eşantionare suficient de mare pentru a evita eroarea alias;

2. Să cuantizeze amplitudinea eşantioanelor într-un set discret de nivele.

Page 523: Prelucrarea digitala a semnalelor

267

Prin urmare, dintr-un semnal analogic xa(t) eşantionat cu frecvenţa Fs=1/T, unde T este perioada de eşantionare, va rezulta o secvenţă x[n]=xa(nT), a cărei amplitudine este cuantizată, rezultând secvenţa

]][[][ nxQnxq ≡ (5.17) unde ][nxq reprezintă semnalul cuantizat, iar ][•Q operaţia de cuantizare.

Dacă un semnal al cărui domeniu dinamic este R urmează a fi reprezentat pe N=b+1 biţi, numărul nivelelor de cuantizare ce pot fi reprezentate este de 12 +b . În reprezentarea în virgulă fixă b biţi dau b2 valori ale amplitudinii iar un bit dă informaţia de semn. Distanţa dintre

două nivele adiacente sau pasul de cuantizare este 12 +=∆ b

R [63].

În reprezentarea în virgulă fixă a numerelor fracţionare, dacă domeniul dinamic depăşeşte ± 1, de multe ori este necesară scalarea semnalului, caz în care pasul de cuantizare al semnalului scalat este redus

corespunzător la bN

−==∆ 222

1 .

Exemplul 5. 6. Să se determine nivelele de cuantizare ale unui semnal continuu cu

domeniul dinamic ± 20V după ce a fost eşantionat şi apoi procesat cu un convertor A/D pe N=4 biţi.

Soluţie. Pasul de cuantizare pentru semnalul nescalat este

V5,2240

4 ==∆ . Pasul de cuantizare pentru semnalul scalat la domeniul

± 1 este V125,022

41 ==∆ care este 322 −− =b , adică valoarea

corespunzătoare unui 1 în poziţia bitului cel mai puţin semnificativ. 5.3.1. Cuantizarea semnalului de intrare. Erori rezultate din rotunjire şi trunchiere În executarea calculelor folosind aritmetica în virgulă fixă sau

mobilă, apare problema cuantizării numerelor prin trunchiere sau rotunjire de la o reprezentare pe un anumit număr de biţi bn (posibil a fi, la limită, şi infinit în cazul unui eşantion al unui semnal analogic) la o alta, pe un număr mai mic de biţi, b. Dacă valoarea semnalului se află între două nivele, aceasta poate fi aproximată fie prin cel mai apropiat nivel superior,

Page 524: Prelucrarea digitala a semnalelor

268

fie prin cel mai apropiat nivel inferior. Efectul cuantizării este că introduce o eroare a cărei valoare depinde de numărul de biţi din numărul original şi de numărul de biţi de după cuantizare.

Sunt trei metode de cuantizare frecvent folosite: - Rotunjirea, caz în care valoarea semnalului este aproximată de

cel mai apropiat nivel de cuantizare. - Trunchierea, caz în care valoarea semnalului este aproximată

de cel mai mare nivel care este inferior sau egal valoric cu eşantionul semnalului.

- Trunchierea semn – valoare, care este asemănătoare cu trunchierea pentru numere pozitive, dar valorile negative ale semnalului sunt aproximate de cel mai apropiat nivel de cuantizare mai mare sau egal cu semnalul.

Aceste descrieri se aplică cuantizării în aritmetica în virgulă fixă. Cele două metode de trunchiere rezultă din tratările diferite ale numerelor negative în reprezentările: mărime cu semn, complement fată de 1, complement fată de 2.

La un moment dat, nT, eroarea datorată cuantizării este ( ) aqiaii xxnTxnxQE −=−= ]][[ (5.18)

unde ri = în cazul rotunjirii şi ti = în cazul trunchierii, )(nTxx aa = reprezintă valoarea necuantizată a semnalului reprezentată pe nb +1 biţi, iar qii xnxQ =]][[ , valoarea cuantizată a semnalului reprezentată pe b+1 biţi.

Rotunjirea În cazul rotunjirii

( ) aqrarr xxnTxnxQE −=−= ]][[ şi 22∆

≤≤∆−

rE , b−=∆ 2 (5.19)

Relaţia neliniară dintre qrx şi ax este reprezentată în figura 5.3 unde ax este un semnal cu amplitudine continuă ( ∞=nb ).

În reprezentarea în virgulă fixă, eroarea de rotunjire satisface relaţia (5.19), indiferent de aritmetica folosită pentru reprezentarea numerelor negative, deoarece rotunjirea este independentă de semn, ea depinzând numai de mărimea numărului.

Page 525: Prelucrarea digitala a semnalelor

269

Figura 5.3 Relaţia dintre valorile cuantizate şi necuantizate în cazul rotunjirii

În reprezentarea în virgulă mobilă, mantisa este cea trunchiată sau

rotunjită. Dacă E

aa Mx 2⋅= (5.20) şi E

r MnxQ 2]][[ ⋅= (5.21) atunci ( ) E

aarr MMxnxQE 2]][[ −=−= (5.22) Dar pentru rotunjire 22 ∆≤−≤∆− aMM (5.23) şi atunci din relaţia (5.19) rezultă

2222 ∆≤≤∆− Er

E E , (5.24) care dă eroarea absolută în virgulă mobilă datorată cuantizării mantisei. Se defineşte eroarea relativă ε , astfel încât

( )ε+= 1]][[ ar xnxQ (5.25) Datorită rezoluţiei neuniforme, eroarea corespunzătoare reprezentării în virgulă mobilă este proporţională cu numărul, adică

ar xE ⋅= ε (5.26) şi relaţia (5.24) devine

2222 ∆≤≤∆− Ea

E xε (5.27) sau

22222 ∆≤≤∆− EEa

E Mε (5.28) adică

22 ∆≤≤∆− aMε (5.29) Mantisa satisface relaţia

121

<≤ aM (5.30)

Page 526: Prelucrarea digitala a semnalelor

270

Dacă 21

=aM , din (5.29) se obţine domeniul maxim al erorii

relative ca fiind ∆≤≤∆− ε (5.31)

Trunchierea Dacă metoda de cuantizare este trunchierea, numărul este

aproximat în aritmetica în virgulă fixă, prin cel mai mare nivel care este mai mic sau egal cu valoarea semnalului. Trunchierea numerelor pozitive, negative şi relaţia neliniară dintre qtx şi ax sunt reprezentate în figura 5.4, unde ax este un semnal cu amplitudine continuă.

Figura 5.4. Relaţia dintre valorile cuantizate şi necuantizate în cazul trunchierii

a) pentru numere pozitive, b) pentru numere negative, c) caracteristica de trunchiere în complement faţă de 2

Eroarea de trunchiere att xnxQE −= ]][[ este negativă sau zero.

0≤<∆− tE (5.32) Acest lucru este valabil pentru toate numerele pozitive reprezentate în formatul mărime cu semn, complement faţă de 1 şi complement faţă de 2.

În continuare se examinează trunchierea numerelor negative reprezentate în diverse formate. Fie întâi reprezentarea în complement fată de 2. Se consideră că numărul ce urmează a fi trunchiat este reprezentat

Page 527: Prelucrarea digitala a semnalelor

271

pe nb +1 biţi (la limită, se poate considera că ∞=nb pentru eşantioane ale unui semnal analogic). Modulul acestui număr negativ este

∑=

−⋅−=nb

i

iibA

11 21 (5.33)

Dacă acesta este trunchiat la b biţi, modulul numărului devine

∑=

−⋅−=b

i

iibA

121 (5.34)

Diferenţa de mărime a modulului numărului negativ rezultată prin trunchiere este

0222111

1 ≥⋅=⋅−⋅=− ∑∑∑+=

=

=

−nn b

bi

ii

b

i

ii

b

i

ii bbbAA (5.35)

Deoarece modulul creşte prin trunchiere, numărul negativ reprezentat în complement faţă de 2 devine mai mic. Valoarea maximă a modulului erorii se obţine când toţi coeficienţii ib sunt egali cu 1, caz în care

∆<−=− −− nbbAA 221 , (5.36) deoarece b−=∆ 2 . Prin urmare, în reprezentarea în complement fată de 2, eroarea se situează în domeniul

0≤<∆− tE (5.37) Situaţia descrisă anterior este reprezentată în figura 5.4. În cazul reprezentării numerelor negative în complement faţă de 1 pe nb +1 biţi, modulul numărului negativ este

∑=

−− −−=n

n

b

i

biibA

11 221 (5.38)

Prin trunchierea la b+1 biţi, modulul numărului negativ devine

∑=

−− −−=b

i

biibA

1221 , (5.39)

astfel încât diferenţa acestora este

( ) 0222

2222

1

111

≤−−=

=−+−=−

−−

+=

−−

=

=

∑∑

nn

nn

bbb

bi

ii

bbb

i

ii

b

i

ii

b

bbAA (5.40)

Modulul numerelor negative descreşte prin trunchiere, adică, de fapt, acestea cresc. Situaţia este ilustrată în Figura 5.5. care reprezintă

Page 528: Prelucrarea digitala a semnalelor

272

trunchierea în reprezentarea semn - valoare. Prin urmare, domeniul în care poate lua valori eroarea ce apare prin trunchierea numerelor negative reprezentate în complement faţă de 1 este

∆<≤ tE0 (5.41)

Figura 5.5. Relaţia dintre valorile cuantizate şi necuantizate în cazul trunchierii semn

valoare a) numere pozitive, b) numere negative, c) caracteristica de trunchiere în semn – valoare

În reprezentarea numerelor negative în formatul mărime cu semn,

biţii care reprezintă modulul numărului negativ sunt aceeaşi cu cei corespunzători numărului pozitiv, diferind numai bitul de semn. Aceasta înseamnă că prin trunchierea unui număr negativ modulul acestuia scade, iar valoarea trunchiată este dată de cel mai apropiat nivel de cuantizare care nu este mai mic decât numărul, situaţie reprezentată în Figura 5.5.

În continuare se va considera trunchierea mantisei în cazul

reprezentării în virgulă mobilă. ( ) E

aatt MMxnxQE 2]][[ −=−= (5.42) În reprezentarea în complement faţă de 2 a mantisei

0≤−<∆− aMM (5.43) sau 02 ≤<∆− t

E E (5.44) Deoarece at xE ε= , se obţine

02 ≤<∆− aE xε (5.45)

Page 529: Prelucrarea digitala a semnalelor

273

sau 022 ≤<∆− Ea

E Mε (5.46) care implică 0≤<∆− aMε (5.47)

Dacă 21

=aM se obţine domeniul maxim al erorii relative ε , ca

fiind 02 ≤<∆− ε (5.48)

Dacă 21

−=aM , domeniul erorii relative este

∆<≤ 20 ε (5.49) În reprezentarea în complement fată de 1, eroarea de trunchiere pentru valori pozitive ale mantisei este:

0≤−<∆− aMM (5.50) sau 02 ≤<∆− t

E E (5.51) Cu E

aat MxE 2εε == (5.52)

şi 21

=aM se obţine domeniul maxim al erorii relative pentru aM

pozitiv, ca fiind 02 ≤<∆− ε (5.53)

Pentru valori negative ale mantisei, eroarea este ∆<−≤ aMM0 (5.54)

sau ∆<≤ EtE 20 (5.55)

Pentru 21

−=aM , domeniul maxim pentru eroarea relativă este

02 ≤<∆− ε , (5.56) aceeaşi ca şi pentru aM pozitiv. Acest lucru este valabil, de asemenea, şi pentru cazul în care mantisa este reprezentată în formatul mărime cu semn.

5.3.2. Model statistic pentru cuantizarea fină În calculele aritmetice ce implică cuantizare prin trunchiere sau

rotunjire, este convenabil să se adopte o metodă statistică pentru caracterizarea erorilor rezultate. Cuantizorul poate fi modelat prin

Page 530: Prelucrarea digitala a semnalelor

274

introducerea unui zgomot aditiv e[n] ce se suprapune peste semnalul x[n], cu respectarea unor ipoteze ce vor fi specificate în cele ce urmează, adică

][][][]][[ nenxnxnxQ q +== (5.57)

unde e[n]= Er pentru rotunjire şi e[n]= Et pentru trunchiere, iar modelul este ilustrat în figura 5.6.

Figura 5.6. Modelul zgomotului aditiv pentru procesul liniar de cuantizare:

(a) sistemul real; (b) model de cuantizare

Cum ][nx poate fi orice număr care se încadrează în domeniul cuantizorului, eroarea de cuantizare este uzual modelată ca o variabilă aleatoare care se încadrează în limitele specificate anterior pentru erori. Mai mult, în practică, bn >> b, deci mărimea 2 − bn poate fi neglijată în relaţiile precedente. În aceste condiţii, erorile de cuantizare ale numerelor reprezentate în virgulă fixă şi virgulă mobilă se încadrează în intervalele prezentate în Tabelul 5.3. Tabelul 5.3 Intervalele erorii de cuantizare

Tipul cuantizării

Tipul de aritmetică

Numere reprezentate

cu virgulă fixă

Numere reprezentate

cu virgulă mobilă Rotunjire

-Semn-valoare -Complement faţă de 1 -Complement faţă de 2

-2-b-1 ≤ Er ≤ 2-b-1

-2-b ≤ ε ≤ 2-b

Trunchiere

Complement faţă de 2

-2-b < Et ≤ 0 -2-b+1 < ε ≤ 0 , x > 0 0 ≤ ε < 2-b+1 , x < 0

Trunchiere semn-valoare

-Complement faţă de 1 -Semn-valoare

-2-b < Et ≤ 0 , x > 0 0 ≤ Et < 2-b , x < 0

-2-b+1 < ε ≤ 0

Page 531: Prelucrarea digitala a semnalelor

275

În aceste condiţii, funcţiile densitate de probabilitate pentru erorile de rotunjire şi trunchiere pentru formatele de reprezentare în virgulă fixă prezentate sunt ilustrate în figura 5.7 [49]. Se observă că în cazul trunchierii în formatul complement faţă de doi, valoarea medie a erorii are o deplasare de 2−b/2, în timp ce pentru celelalte cazuri ilustrate anterior, eroarea are o valoare medie nulă.

Figura 5.7 Caracterizarea statistică a erorilor de cuantizare. Funcţiile densitate de probabilitate ale (a) erorii de rotunjire; (b) erorii de trunchiere în formatul semn-valoare;

(c) erorii de trunchiere în formatul complement faţă de doi Analiza rezultatelor din Tabelul 5.3 şi a expresiilor densităţilor de repartiţie pentru erorile de rotunjire şi trunchiere conduce la concluzia că rotunjirea este preferată altor metode de cuantizare, din următoarele motive[34]: –semnalul de eroare este independent de tipul de aritmetică; –media semnalului eroare este zero; –nici o altă metodă de cuantizare nu conduce la o dispersie mai mică. Cuantizarea reprezintă o operaţie neliniară şi ireversibilă. Efectele erorii de cuantizare datorate rotunjirii pot fi evidenţiate dacă e[n] se consideră o secvenţă aleatoare care satisface următoarele proprietăţi:

Page 532: Prelucrarea digitala a semnalelor

276

1. Eroarea e[n] este uniform distribuită în domeniul ]2/,2/[ ∆∆− , 2. Secvenţa de eroare e[n] este o secvenţă de zgomot alb

staţionar, pentru care e[n] şi e[m], pentru m ≠ n, sunt necorelate. 3. Secvenţa de eroare e[n] este necorelată cu semnalul x[n]. Ipotezele de mai sus sunt îndeplinite când pasul de cuantizare este

mic şi semnalul x[n] traversează mai multe nivele de cuantizare între două eşantioane succesive. Efectul zgomotului aditiv, e[n], asupra semnalului dorit poate fi studiat evaluând raportul semnal-zgomot (SNR) care, pe scară logaritmică (în decibeli), este

SNRPP

x

n

= ⋅10 10log (5.58)

unde Px este puterea semnalului, iar Pn este puterea zgomotului de cuantizare.

Dacă eroarea de cuantizare este uniform distribuită în domeniul (-∆/2, ∆/2), aşa cum este reprezentat în figura 5.7a, valoarea medie a erorii este zero şi dispersia (puterea zgomotului de cuantizare) este

122

121)(

222/

2/

22/

2/

22b

en deedeepeP−∆

∆−

∆−

=∆

=∆

=== ∫∫σ (5.59)

Prin urmare, SNR este

SNR PP

Px

nx

b= ⋅ = ⋅ + ⋅ ×10 10 10 12 210 10 102log log log ( ) (5.60)

bPSNR x 68,10log10 10 ++⋅= (5.61)

Această expresie pentru SNR indică faptul că fiecare bit folosit în convertorul A/D sau cuantizor, măreşte raportul semnal/zgomot de cuantizare cu 6 dB sau reduce puterea zgomotului de cuantizare cu 6 dB. De exemplu, dacă se stabileşte nivelul puterii zgomotului de cuantizare la –70 dB faţă de nivelul puterii semnalului, trebuie folosit un cuantizor pe 10 biţi (sau convertor pe 10 biţi).

Pentru a analiza efectul zgomotului de cuantizare asupra răspunsului unui sistem discret, liniar, invariant în timp, se consideră un astfel de sistem caracterizat de funcţia pondere ][nh , la intrarea căruia se aplică semnalul cuantizat ][][][ nenxnxq += . Datorită liniarităţii sistemului, ieşirea sa este suma răspunsurilor sistemului la semnalul necuantizat ][nx şi la eroarea de cuantizare ][ne . Notând semnalul de

Page 533: Prelucrarea digitala a semnalelor

277

ieşire datorat zgomotului sau erorii de cuantizare cu ][nz , conform figurii 5.8, se poate scrie

∑=

−=n

kknekhnz

0

][][][ (5.62)

relaţie din care poate fi determinată dispersia zgomotului de ieşire cauzat de eroarea de cuantizare.

Figura 5.8. Model pentru eroarea datorată cuantizării semnalului de intrare

a) Modelul de eroare, b) ieşirea datorată zgomotului de cuantizare b)

În cazul cuantizării prin rotunjire, ţinând seama de ipotezele asumate pentru eroare şi de relaţia (5.59), dispersia fiecărui termen din suma (5.62) este

][12

][ 22

22 khkhe∆

=σ (5.63)

Deoarece dispersia unei sume de variabile aleatoare independente este egală cu suma dispersiilor lor, rezultă că, în ipoteza că erorile de cuantizare s-au presupus independente la diferite momente de timp, dispersia ieşirii ][nz este

∑=

∆=

n

kz khn

0

22

20 ][

12][σ (5.64)

Dispersia creşte până la o valoare de regim permanent cu condiţia ca filtrul să fie stabil. Dispersia de regim permanent se calculează cu relaţia

∑∞

=∞→

∆==

0

22

20

2 ][12

][limk

znozss khnσσ ( 5.65)

Page 534: Prelucrarea digitala a semnalelor

278

O altă formă pentru expresia dispersiei de regim permanent a ieşirii poate fi obţinută cu ajutorul funcţiei de sistem a filtrului, ( )zH , în felul următor:

( ) ∑∞

=

−=0

][k

kzkhzH ( 5.66)

( ) ∑∞

=

− =0

1 ][m

mzmhzH ( 5.67)

Prin urmare,

( ) ( ) ∑ ∑∞

=

=

−− =0 0

1 ][][k m

kmzmhkhzHzH ( 5.68)

Multiplicând ambii membri cu 1−z şi integrând după z pe un contur închis ce conţine originea în planul z, rezultă

( ) ( ) ∫ ∑ ∑∫∞

=

=

−−−− =c

k m

km

cdzzmhkhdzzzHzH

0 0

111 ][][ ( 5.69)

Când conturul c este în regiunea de convergenţă pentru ( )zH şi ( )1−zH , se poate schimba ordinea de sumare şi integrare din membrul drept. Se observă că cercul unitate este inclus în domeniul rezultat din intersecţia regiunilor de convergenţă pentru ( )zH şi ( )1−zH , cu condiţia ca ( )zH să fie stabil. Astfel se justifică alegerea cercului unitate drept contur de integrare. Relaţia (5.69) devine

( ) ( ) ∫∑ ∑∫ −−∞

=

=

−− =c

km

k mc

dzzmhkhdzzzHzH 1

0 0

11 ][][ (5.70)

Deoarece conturul de integrare conţine originea planului Z, conform teoremei lui Cauchy [48]

≠=

=∫ −−

kmkmj

dzzc

km

021 π

( 5.71)

Cu (5.71), relaţia (5.70) devine

( ) ( ) ∑∫∞

=

−− =0

211 ][2k

ckhjdzzzHzH π ( 5.72)

şi, deci,

( ) ( )∫∑ −−∞

=

=c

kdzzzHzH

jkh 11

0

2

21][π

(5.73)

Din (5.65) şi (5.73) rezultă următoarea expresie pentru dispersia de regim permanent

Page 535: Prelucrarea digitala a semnalelor

279

( ) ( )∑ −−∆=

unitatecerculdinpolii

ozss zzHzHluiereziduuril 112

2

12σ , (5.74)

expresie care, de multe ori, este mai uşor de evaluat decât (5.65).

Exemplul 5. 7. Să se determine dispersia de regim permanent a zgomotului de la

ieşirea unui sistem cauzal, stabil, de ordinul întâi, datorat cuantizării semnalului de intrare. Soluţie. Ecuaţia cu diferenţe care caracterizează sistemul este

][]1[][ nxnAyny +−= , cu 1|| <A . Răspunsul la impuls al acestui sistem este ][][ nuAnh n= . Din (5.65) rezultă dispersia zgomotului de ieşire

2

)1(22

0

22

2

11

1212][

AAAn

nn

k

koz −

−∆=

∆=

+

=∑σ

Dispersia de regim permanent, când ∞→n , este ( )2

220 112 Azss −

∆=σ .

( ) 111

−−=

zAzH , cu un pol în Az = , şi ( )

zAzH

−=−

111 cu un pol în

Az 1= în afara cercului unitate. Conform relaţiei (5.74) rezultă

( )2

21

220 1121

112 A

zAzAz

zluiereziduurilAz

zss −∆

=

−⋅

−∆

= ∑=

−σ

identică, evident, cu expresia obţinută anterior. Pentru sisteme de ordin superior este mai uşor a se folosi relaţia (5.74) decât (5.65) din cauza complexităţii expresiei răspunsului la impuls.

5.4. Erori cauzate de cuantizarea coeficientilor filtrelor

5.4.1. Efectul cuantizării parametrilor filtrului asupra stabilităţii. Analiza senzitivităţii la cuantizarea coeficienţilor filtrelor IIR Pentru a asigura stabilitatea unui filtru recursiv cauzal, toţi polii

acestuia trebuie să fie în interiorul cercului unitate din planul Z. În multe

Page 536: Prelucrarea digitala a semnalelor

280

cazuri este de dorit ca un pol sau o pereche de poli să fie în apropierea cercului unitate. Dacă în acest caz pasul de cuantizare este atât de mare încât reprezentarea polilor să fie pe sau în afara cercului unitate, filtrul astfel implementat devine instabil.

Fie, de exemplu, un filtru de ordinul întâi [ ] [ ] [ ]nxnyAny +−= 1 (5.75)

şi fie N =b+1, numărul biţilor disponibili reprezentării coeficientului A care, pentru un filtru stabil, este cuprins în domeniul 11 <<− A . Mărimea pasului de cuantizare este b−=∆ 2 . Dacă A−= 1ε este distanţa de la pol la cercul unitate, cea mai mică valoare a lui ε care poate fi precis reprezentată este b−=∆ 2 . Pentru asigurarea stabilităţii trebuie ca pasul de cuantizare să fie mai mic sau egal cu distanţa de la pol la cercul unitate, ε≤∆ , adică )1(2 1 AN −≤+− , de unde rezultă

( )1

2log1log

12log

log

10

10

10

10 +−

−=+−≥AN ε

(5.76)

Exemplul 5. 8.

a) Fie aTeA −= , unde srada 1= , 310=T secunde. Dacă se foloseşte trunchierea ca metodă de cuantizare, să se determine numărul minim de biţi, N, necesar reprezentării lui A, astfel încât să nu rezulte instabilitate. b) Dacă sunt disponibili 9 biţi şi 310=T secunde, să se găsească a, astfel încât filtrul să fie stabil.

Soluţie. a) TaeA aT ≈−=− −11 , prin urmare, biţi1112log

log

10

10 =+−≥TaN

b) ( )

13.0

10log9

310 +

⋅−=

− a care necesită secundărad4=a .

Pentru filtrele de ordin superior localizarea polilor depinde, în general, de mai mulţi coeficienţi. Pentru a ilustra efectul cuantizării coeficienţilor asupra localizării polilor şi, implicit, asupra caracteristicii de frecvenţă, fie un filtru IIR cu funcţia de sistem

=

=

+= N

k

kk

M

k

kk

za

zbzH

1

0

1)( (5.77)

Page 537: Prelucrarea digitala a semnalelor

281

Filtrul IIR cu coeficienţi cuantizaţi are funcţia de sistem

H zb z

a z

kk

k

M

kk

k

N( ) =+

=

=

∑0

1

1 (5.78)

unde coeficienţii cuantizaţi bk şi ak pot fi exprimaţi în funcţie de coeficienţii necuantizaţi bk şi ak prin relaţiile

a a ab b b

k k k

k k k

= +

= +

k Nk M==

1 20 1, ,...,, ,...

(5.79)

∆bk şi ∆ak reprezentând erorile de cuantizare ale coeficienţilor. Numitorul lui H(z) poate fi exprimat în forma

D z a z p zkk

k

N

kk

N

( ) ( )= + = −−

=

=∑ ∏1 1

0

1

1

(5.80)

unde pk sunt polii lui H(z). Similar, se poate descompune numitorul lui H z( ) în forma

D z p zkk

N

( ) ( )= − −

=∏ 1 1

1

(5.81)

unde p p pk k k= + ∆ , k=1, 2, ..., N, şi ∆pk este eroarea sau perturbaţia care rezultă din cuantizarea coeficienţilor filtrului. În continuare, se urmăreşte a se exprima perturbaţia totală ∆pi a polului pi, în funcţie de eroarea de cuantizare ∆ak a coeficienţilor. Perturbaţia ∆pi poate fi exprimată ca [48]

∆ ∆p pa

aii

kk

k

N

==∑ ∂

∂1

(5.82)

unde k

i

ap

∂∂

reprezintă variaţia poziţiei polului pi determinată de variaţia

coeficientului ak. Astfel, eroarea totală este exprimată ca o sumă a erorilor datorate schimbărilor în fiecare din coeficienţii ak. Derivatele parţiale ∂ ∂p ai k/ , k=1, 2, ..., N, pot fi obţinute diferenţiind D(z) în funcţie de fiecare ak, după cum urmează [48]:

∂∂

∂∂

∂∂

D za

D zz

pak z p z p

i

ki i

( ) ( )

=

= =

(5.83)

Din (5.83) rezultă

Page 538: Prelucrarea digitala a semnalelor

282

( )( )

∂∂

∂ ∂

∂ ∂pa

D z a

D z zi

k

k z p

z p

i

i

= =

=

( ) /

( ) / (5.84)

Numărătorul relaţiei (5.84) este

∂∂D za

z pk z p

kz p i

k

ii

( )

= =

=

=

− (5.85)

Numitorul relaţiei (5.84) este

=

−=

==

=∏

ii pz

N

ll

pz

zpzz

zD1

1 )1()(∂∂

∂∂

∏∑ ∏≠=

==

≠=

− −=

−=N

ill

liNi

pz

N

k

N

kll

lk pp

pzp

zp

i

11 1

12 )(1)1( (5.86)

Prin urmare, relaţia (5.84) poate fi exprimată sub forma

∂∂

pa

p

p p

i

k

iN k

i lll i

N=−

=≠

∏( )1

(5.87)

Înlocuind rezultatul din (5.87) în (5.82) rezultă eroarea totală de perturbaţie ∆pi în forma

∆ ∆p p

p pai

iN k

i lll i

N kk

N

=−

=≠

= ∏∑

( )1

1

(5.88)

Această expresie oferă o măsură a senzitivităţii polului pi la o schimbare a coeficienţilor ak. Un rezultat analog se poate obţine pentru senzitivitatea zerourilor la erorile cauzate de cuantizarea parametrilor bk. Termenii (pi - pl) din numitorul relaţiei (5.88) reprezintă vectori, în planul Z, orientaţi de la polii pl la polul pi. Dacă polii sunt foarte grupaţi, ca în cazul unui filtru de bandă îngustă reprezentat în figura 5.9, lungimile pi - pl vor fi mici pentru polii din vecinătatea lui pi. Aceste lungimi mici vor contribui la erori mari şi va rezulta o perturbaţie ∆pi mare. Eroarea ∆pi poate fi minimizată prin maximizarea lungimii pi - pl.

Page 539: Prelucrarea digitala a semnalelor

283

Figura 5.9 Poziţii ale polilor unui filtru IIR trece bandă

Acest lucru se poate realiza prin implementarea filtrelor de ordin mare cu celule cu un singur pol sau cu doi poli. Fltrele cu un singur pol (şi un singur zero) au valori complexe pentru coeficienţi şi necesită operaţii aritmetice în complex pentru realizarea lor. Această problemă poate fi evitată combinând polii şi zerourile complex conjugate, pentru a forma secţiuni de filtru de ordin doi cu coeficienţi reali. Deoarece polii complex conjugaţi sunt suficient de depărtaţi, eroarea de cuantizare ∆pi este minimizată şi, în consecinţă, filtrul cu coeficienţii cuantizaţi rezultat aproximează mai bine caracteristica răspunsului în frecvenţă a filtrului cu coeficienţii necuantizaţi.

Exemplul 5. 9.

Un filtru digital de ordinul doi are polii reali 1p şi 2p . Acesta este implementat în forma directă. Se cere: a) Din relaţia generală (5.82) să se scrie o relaţie pentru modificarea

poziţiei polilor datorată modificărilor coeficienţilor ecuaţiei cu diferenţe corespunzătoare.

b) Dacă 98,01 =p şi 94,02 =p , care este numărul minim de biţi necesar ca filtrul să rămână stabil în urma cuantizării coeficienţilor? Metoda de cuantizare se presupune a fi rotunjirea.

Soluţie. a) Din (5.87) rezultă ( )∏

≠=

−=

∂∂

2

1

2

ill

li

ki

k

i

pp

pap

, 2,1=k şi 2,1=i .

21

1

1

1

ppp

ap

−=

∂∂

212

1 1ppa

p−

=∂∂

Page 540: Prelucrarea digitala a semnalelor

284

12

2

1

2

ppp

ap

−=

∂∂

122

2 1ppa

p−

=∂∂

variaţia totală în poziţia polilor este

kk k

ii a

ap

p ∆∂∂

=∆ ∑=

2

1

adică [ ]21121

22

11

1

11

1 aappp

aapa

app ∆+∆

−=∆

∂∂

+∆∂∂

=∆

şi [ ]21212

22

21

1

22

1 aappp

aapa

app ∆+∆

−=∆

∂∂

+∆∂∂

=∆

b)Este necesar a determina 1a∆ şi 2a∆ . Numitorul funcţiei de transfer a filtrului are forma ( )( ) 21

221 azazpzpz +−=−− unde

211 ppa += şi 212 ppa = . Pentru asigurarea stabilităţii trebuie ca 22 1 <<− a şi 11 2 <<− a [63]. În aritmetica în virgulă fixă

coeficientul 1a poate fi scalat pentru a se obţine un număr fracţionar, deşi pentru coeficienţii filtrului virgula binară este adesea mutată spre dreapta pentru a adapta coeficienţii la mărimi mai mari ca unitatea. În orice caz se poate calcula pasul de cuantizare şi numărul de biţi, N=b+1.

Pentru 1a , N24

=∆ şi pentru rotunjire Na22

21 =∆

=∆

S-ar putea alege acelaşi pas de cuantizare şi pentru 2a , caz în care ar fi necesari N - 1 biţi deoarece domeniul lui 2a este jumătate din cel pentru 1a . În schimb, s-ar putea adopta N biţi pentru ambele registre,

pentru 1a şi 2a şi pasul de cuantizare pentru 2a să fie 22

2 ∆=N , astfel

încât, pentru rotunjire Na2142 =∆=∆ .

Pentru ultima alegere, din expresia menţionată anterior pentru schimbarea poziţiei polului rezultă

( )[ ] NNp 27420,1298,094,098,0

11 =+

−=∆ şi

( )[ ] NNp 27220,1294,098,094,0

12 −=+

−=∆

Page 541: Prelucrarea digitala a semnalelor

285

Polul 1p , fiind mai apropiat de cercul unitate este posibil să cauzeze instabilitatea filtrului, dacă nu este reprezentat adecvat. Pentru stabilitate, trebuie să fie îndeplinită relaţia Npp 27402,01 11 =∆>=− sau 37002 >N , care implică N=12 biţi lungimea minimă a registrului.

Pentru a completa analiza, este necesar a considera şi cazul polilor complex conjugaţi în expresia funcţiei de transfer (5.77). Numitorul acesteia se poate scrie

( ) ( )[ ]∏∏∑=

−−

=

=

− +−−=+s

kkkk

q

ii

N

k

kk zrzrzpza

1

221

1

1

1cos2111 θ (5.89)

unde 2

qNs −= , cu q poli simpli şi s perechi de poli complex conjugaţi.

Diferenţiind (5.89) în raport cu la , cu Nl ≤≤1 se determină

senzitivitatea la cuantizarea coeficienţilor l

m

ap

∂∂

, qm ≤≤1 şi l

g

ar

∂, şi

l

g

a∂∂θ

, sg ≤≤1 . După câteva prelucrări matematice rezultă pentru polii

simpli pm, qm ≤≤1 [58]

( ) ( )[ ]∏∏=

−−

≠=

+−

+−−=

∂∂

s

kmkmkk

q

mii

mi

lm

l

m

prprpp

pap

1

221

1

1

1

cos211 θ, (5.90)

şi pentru polii complecşi gergθ± , sg ≤≤1

( )[ ]gg

gl

g

l

g

Clr

ar

θθ

sin21sin1 −−

=∂

∂ +−

(5.91)

( )[ ] ( )[ ] gg

gggl

g

l

g

Cllr

a θθθθθ

2sin21sincos2sin −−−

=∂

∂ −

(5.92)

unde

( ) ( )∏∏≠=

=

−−

=

− +−−=N

gkk

erzkkk

q

iig gj

gzrzrzpC

1

221

1

1 cos211 θθ (5.93)

Deviaţiile totale sunt

Page 542: Prelucrarea digitala a semnalelor

286

qlaap

p l

N

l l

mi ,,1

1…=∆

∂∂

=∆ ∑=

(5.94)

sgaar

r l

N

l l

gg ,,1

1…=∆

∂=∆ ∑

=

(5.95)

sgaa l

N

l l

gg ,,1

1…=∆

∂=∆ ∑

=

θθ (5.96)

Din nou se observă că, dacă polii sunt grupaţi, ca în cazul filtrelor de bandă îngustă, polii realizării în forma directă sunt sensibili la erorile de cuantizare a coeficienţilor şi, cu cât este mai mare numărul de poli grupaţi, cu atât şi senzitivitatea este mai mare. Este interesant de observat modul în care influenţează structura de implementare a filtrului erorile cauzate de cuantizarea coeficienţilor. Pentru a ilustra acest lucru, fie un filtru cu doi poli complex conjugaţi, caracterizat de funcţia de sistem

H zr z r z

( )( cos )

=− +− −

11 2 1 2 2θ

(5.97)

Filtrul are polii la z1,2 = re±jθ . Când este realizat ca în figura 5.10, există doi coeficienţi: a1 = −2rcosθ şi a2 = r2. Cu precizie infinită este posibil să obţinem un număr infinit de poziţii ale polilor. Evident, cu precizie finită (adică a1 şi a2 cuantizaţi), poziţiile posibile ale polilor sunt în număr finit.

Figura 5.10. Realizare directă a unui filtru cu doi poli

De exemplu, pentru b=3, sunt posibile 7 valori nenule pentru a1 şi

a2. În figura 5.11 sunt reprezentate poziţiile posibile ale polilor, numai pentru primul cadran al planului z. Sunt posibile 40 de poziţii ale polilor în acest caz. Neuniformitatea în poziţia polilor este datorată faptului că se cuantizeaza r2 iar polii se găsesc pe un arc de cerc de rază r. Pentru o anumită cuantizare a coeficienţilor, polii se află pe o grilă din planul z

Page 543: Prelucrarea digitala a semnalelor

287

definită de intersecţia cercurilor concentrice corespunzătoare cuantizării lui r2 şi liniilor verticale corespunzătoare cuantizării lui 2rcosθ. De importanţă particulară este setul rar de poli, pentru θ apropiat de zero şi, datorită simetriei, pentru θ în apropierea lui π. Această situaţie va fi critic nefavorabilă pentru filtrele trece jos şi filtrele trece sus care au în mod normal polii grupaţi in jurul frecventei unghiulare θ=0 şi, respectiv, θ=π.

Fig. 5.11 Poziţii posibile ale polilor structurii de ordinul doi în planul Z, pentru

cuantizarea pe trei biţi

O alternativă în realizarea filtrelor cu doi poli este forma cuplată, reprezentată în figura 5.12.

Figura 5.12. Realizare în forma cuplată a filtrului IIR cu doi poli

Cele două ecuaţii cuplate sunt:

]1[)cos(]1[)sin(][]1[)sin(]1[)cos(][][

1

11

−⋅+−⋅=−⋅−−⋅+=

nyrnyrnynyrnyrnxny

θθθθ

(5.98)

Page 544: Prelucrarea digitala a semnalelor

288

Transformând aceste ecuaţii în domeniul Z, se poate scrie Y zX z

H z r zr z r z

( )( )

( ) ( sin )( cos )

= =− +

− −

θθ

1

1 2 21 2 (5.99)

În forma cuplată se observă că sunt de asemenea doi coeficienţi, α1 = r sinθ şi α2 = r cosθ. Deoarece ambii sunt liniari în r, poziţiile posibile ale polilor sunt acum puncte egal spaţiate pe un caroiaj dreptunghiular, ca în figura 5.13.

Figura 5.13. Poziţii posibile ale polilor filtrului cu doi poli, realizat în forma

cuplată din figura 5.12

Ca urmare, poziţionarea polilor este acum uniform distribuită în interiorul cercului, lucru mult mai favorabil decât realizarea precedentă, mai ales pentru filtrele trece jos. Preţul plătit pentru această distribuire uniformă a poziţiei polilor este o creştere a volumului de calcule. Realizarea în formă cuplată necesită patru multiplicări, câte două pentru fiecare ieşire, în timp ce realizarea din figura 5.10 necesită doar două multiplicări. Este interesant de observat faptul că pentru o anumită lungime a coeficienţilor, forma directă permite o plasare mai adecvată a polilor cu r apropiat de unitate şi θ mare, pe când forma cuplată este mai avantajoasă pentru θ mic. Deoarece sunt diverse metode de a realiza secţiunile de ordin doi ale filtrelor, este, de asemenea, clar că sunt multe posibilităţi pentru localizarea polilor în cazul coeficienţilor cuantizaţi. Ideal ar fi să se selecteze o structură care conduce la un set dens de puncte în regiunea unde se află polii. Din nefericire nu există o metodă simplă şi sistematică pentru determinarea realizării filtrului care să ducă la rezultatul dorit. Având dat un filtru IIR de ordin înalt care trebuie implementat ca o combinaţie de secţiuni de ordinul doi, va trebui să se decidă între o structură în cascadă şi una în paralel, adică între realizarea

Page 545: Prelucrarea digitala a semnalelor

289

H zb b z b z

a z a zk k k

k kk

K

( ) =+ +

+ +

− −

− −=∏ 0 1

12

2

11

22

1 1 (5.100)

şi realizarea

H z c c za z a z

k k

k kk

K

( ) = ++ +

− −=∑ 0 1

1

11

22

1 1 (5.101)

Dacă filtrul IIR are zerouri pe cercul unitate, cum este cazul filtrelor eliptice şi Cebyshev de tipul doi, fiecare secţiune de ordin doi din configuraţia în cascadă din (5.100) conţine o pereche de zerouri complex conjugate. Coeficienţii bki din (5.100) determină în mod direct poziţiile acestor zerouri, iar cuantizarea lor tinde să le deplaseze de pe cercul unitate. Senzitivitatea răspunsului sistemului la eroarea de cuantizare este uşor şi direct controlabilă prin alocarea unui număr suficient de biţi pentru reprezentarea coeficienţilor cuantizaţi bki cu o precizie specificată. Astfel va exista control direct asupra polilor şi zerourilor care rezultă din procesul de cuantizare. De fapt, se poate evalua efectul perturbării rezultate din cuantizarea coeficienţilor bki, cu o anumită precizie cerută. Realizarea în paralel a lui H(z), conform relaţiei (5.101), asigură un control direct doar asupra polilor sistemului. Coeficienţii numărătorului ck0 şi ck1 sunt obţinuţi prin descompunerea în fracţii simple a lui H(z). Prin urmare polii influenţează indirect localizarea zerourilor, prin combinarea tuturor termenilor din descompunerea în fracţii simple a lui H(z) şi, în consecinţă, este mult mai dificil a se determina efectul erorii de cuantizare datorat coeficienţilor cki, în localizarea zerourilor sistemelor. Cuantizarea parametrilor cki poate produce o perturbaţie semnificativă a poziţiilor zerourilor şi, de obicei, va fi suficient de mare în implementările cu virgulă fixă pentru a deplasa zerourile de pe cercul unitate. Aceasta este o situaţie foarte neplăcută, care poate fi însă remediată folosind o reprezentare în virgulă mobilă. În orice caz, structura în cascadă este mult mai robustă în prezenţa cuantizării coeficienţilor şi trebuie să fie alegerea preferată în aplicaţii practice, mai ales unde este folosită reprezentarea în virgulă fixă.

Page 546: Prelucrarea digitala a semnalelor

290

5.4.2. Cuantizarea coeficienţilor filtrelor FIR

Aşa cum s-a arătat şi în secţiunea precedentă, analiza senzitivităţii aplicată polilor unui sistem se aplică direct şi zerourilor filtrelor IIR. Prin urmare, o expresie asemănătoare cu relaţia (5.88) se poate obţine pentru zerourile unui filtru FIR. Pentru a minimiza senzitivitatea la cuantizarea coeficienţilor, va trebui ca filtrul FIR cu un număr mare de zerouri să fie implementat ca o cascadă de secţiuni de ordinul unu şi doi. Un aspect important în practică îl reprezintă filtrele FIR cu răspuns liniar de fază. Realizările directe ale unor astfel de filtre menţin proprietatea de fază liniară chiar şi în cazul cuantizării coeficienţilor. Aceasta rezultă din observaţia că funcţia de sistem a unui filtru FIR de fază liniară satisface proprietatea

H z z H zM( ) ( )(= ± − − −1) 1 , (5.102) indiferent dacă coeficienţii sunt sau nu, cuntizaţi.

Prin urmare, cuantizarea coeficienţilor filtrului FIR afectează doar caracteristica de amplitudine. Din practică se ştie că pentru a reprezenta coeficienţii unui filtru FIR de fază liniară de lungime moderată (M=32 ÷ 256) sunt necesari cel puţin 10 biţi, dar, dacă este posibil, se preferă a se folosi 12 până la 14 biţi. Cu creşterea lungimii filtrului trebuie să crească şi numărul de biţi pentru reprezentarea coeficienţilor, pentru a menţine aceeaşi eroare în răspunsul în frecvenţă al filtrului. Se presupune, de exemplu, că fiecare coeficient al filtrului este rotunjit la (b+1) biţi. Prin urmare, eroarea de rotunjire se încadrează în domeniul: –2−b /2 < er[n] < 2−b /2 . Valoarea cuantizată a răspunsului la impuls poate fi reprezentată ca ][][][ nenhnh rq += şi eroarea în răspunsul în frecvenţă este

∑−

=

−⋅=1

0][)(

M

n

njrM eneE ωω (5.103)

Presupunând că er[n] este o variabilă aleatoare uniform distribuită în intervalul [-2-b/2, 2-b/2] cu valoarea medie zero, EM(ω) va fi, de asemenea, de medie zero. Presupunând, în continuare, că er[n] poate fi modelată ca o secvenţă de zgomot alb staţionar, secvenţa erorilor er[n], 0 ≤ n ≤ M−1, are eşantioanele necorelate. Prin urmare, dispersia erorii în răspunsul în frecvenţă EM(ω) este suma dispersiilor celor M termeni er[n]

Mb

E 122 2

2−

=σ (5.104)

Page 547: Prelucrarea digitala a semnalelor

291

Ecuaţia (5.104) subliniază faptul că dispersia erorii creşte liniar cu lungimea filtrului M. Deviaţia standard a erorii EM(ω) este

Mb

E 122−

=σ (5.105)

Prin urmare, pentru fiecare creştere de patru ori a lui M, precizia în reprezentarea coeficienţilor filtrului trebuie crescută cu un bit, pentru a menţine deviaţia standard fixă. Din practică se constată că pentru a avea o deviaţie standard acceptabilă se folosesc 12, 13 biţi. Dacă lungimea filtrului, M, este mai mare decât 256 sau numărul de biţi folosiţi pentru reprezentarea coeficienţilor este mai mic de 12, atunci filtrul trebuie implementat ca o cascadă de secţiuni de filtre de lungimi mai mici.

Într-o realizare în cascadă, de forma

H z G H zkk

K

( ) ( )= ⋅=∏

1

(5.106)

secţiunile de ordinul doi sunt:

H z b z b zk k k( ) = + +− −1 11

22 . (5.107)

Coeficienţii au forma b rk k k1 2= − cosθ şi b rk k22= . Cuantizarea lui bk1 şi

bk2 conduce la localizarea zerourilor ca în figura 5.11, cu excepţia faptului că grid-ul se extinde în afara cercului unitate. Ecuaţia (5.102) arată că zerourile lui H(z-1) sunt identice cu cele ale lui H(z). Dacă H(z) are un zerou complex z r ek

j k= ⋅ ⋅θ atunci H(z) trebuie să aibă şi o “imagine–oglindă” a acestuia, adică zeroul z r ek

j k− − ⋅= ⋅1 1( / ) θ . Pe de altă parte, dacă răspunsul la impuls este real, zerourile complexe ale lui H(z) apar în perechi conjugate. Problema care apare în acest caz este menţinerea proprietăţii de fază liniară, deoarece perechea de zerouri cuantizate kj

k erz θ⋅±⋅= )/1(4,3 poate să nu fie imaginea

în oglindă a perechii de zerouri cuantizate kjk erz θ⋅±⋅=2,1 .

Această problemă poate fi evitată prin rearanjarea termenilor corespunzători imaginii în oglindă. Se pot scrie astfel coeficienţii imaginii în oglindă, sub forma

( )1 2 1 1 212

22

2 1 2− +

= − +− − − −

rz

rz

rr r z z

kk

k kk k kcos cosθ θ (5.108)

Page 548: Prelucrarea digitala a semnalelor

292

Factorul 1/rk2 poate fi combinat cu câştigul total G, sau poate fi

distribuit în secţiunile de filtru de ordin doi. Termenul din (5.108) conţine exact aceeaşi parametri ca şi factorul ( cos )1 2 1 2 2− +− −r z r zk k kθ şi, prin urmare, zerourile apar acum în perechi imagine-oglindă chiar dacă coeficienţii sunt cuantizaţi. 5.5. Erori cauzate de cuantizarea produselor.

Caracterizarea statistică a efectelor cuantizării în realizarea în virgulă fixă a filtrelor digitale Multiplicarea a două numere reprezentate pe b biţi fiecare,

exceptând bitul de semn, are ca rezultat un număr reprezentat pe 2b biţi. În practică, datorită lungimii finite a registrelor cu care se lucrează, se impune exprimarea produselor prin b biţi semnificativi, astfel încât, inevitabil, cuantizarea este asociată cu formarea produsului. Indiferent de tipul de cuantizare folosit, s-a încetăţenit ca acesta să se numească rotunjirea produsului. Efectul acestei cuantizări asupra performanţelor filtrului depinde de modul de implementare a acestuia.

Se presupune că eroarea de rotunjire asociată formării produsului este independentă de la o iteraţie la alta, astfel încât poate fi folosit modelul cuantizării fine, sursele de zgomot fiind introduse în sistem după multiplicatoare. Astfel, multiplicatorul este modelat cu o operaţie în precizie infinită urmată de o sursă de zgomot aditiv e[n], aşa încât rezultatul final să fie egal cu un nivel de cuantizare, exact cum s-a procedat la caracterizarea erorii de cuantizare la conversia A/D a unui semnal analogic.

Se începe cu caracterizarea zgomotului de rotunjire într-un filtru cauzal, cu un singur pol, care este implementat în aritmetica cu virgulă fixă şi este descris de ecuaţia neliniară cu diferenţe

][]]1[[][ nxnavQnv r +−= (5.109)

Efectul rotunjirii produsului av[n-1] este modelat cu o secvenţă de zgomot e[n] adunată la produsul necuantizat av[n-1], care este

][]1[]]1[[ nenavnavQr +−=− (5.110)

Cu acest model pentru eroarea de cuantizare, sistemul considerat este descris de ecuaţia liniară cu diferenţe

][][]1[][ nenxnavnv ++−= (5.111) Sistemul corespunzător este ilustrat în diagrama bloc din figura 5.14.

Page 549: Prelucrarea digitala a semnalelor

293

Figura 5.14. Modelul zgomotului aditiv pentru eroarea de cuantizare a produsului pentru

un filtru cu un singur pol

Secvenţa de ieşire a filtrului v[n], poate fi separată în două componente. Prima este răspunsul sistemului, y[n], la secvenţa de intrare x[n], iar a doua este răspunsul sistemului, z[n], la zgomotul aditiv de cuantizare e[n]. Secvenţa de ieşire se exprimă ca o sumă a acestor două componente, adică

][][][ nznynv += (5.112) Înlocuind v[n] din (5.112) în (5.111), se obţine

][][]1[]1[][][ nenxnaznaynzny ++−+−=+ (5.113) Pentru a simplifica analiza, se fac următoarele presupuneri în legătură cu eroarea e[n]:

1. Pentru orice n, secvenţa de eroare e[n] este uniform distribuită în

intervalul

− −− bb 2

21,2

21 . Aceasta implică valoarea medie a lui

e[n] egală cu zero, şi dispersia

122 2

2b

e

=σ (5.114)

2. Eroarea e[n] este o secvenţă staţionară de zgomot alb şi, ca urmare, e[n] şi e[m] sunt necorelate pentru n≠m.

3. Secvenţa de eroare e[n] este necorelată cu semnalul x[n]. Ultima presupunere permite separarea ecuaţiei cu diferenţe (5.113)

în două ecuaţii independente: ][]1[][ nxnayny +−= (5.115)

][]1[][ nenaznz +−= (5.116) Ecuaţia cu diferenţe (5.115) reprezintă relaţia de intrare-ieşire pentru sistemul dorit, iar cea din (5.116) reprezintă relaţia pentru eroarea de cuantizare la ieşirea sistemului.

Page 550: Prelucrarea digitala a semnalelor

294

Pentru a completa analiza se face apel la două relaţii importante. Prima este relaţia pentru valoarea medie a ieşirii z[n] pentru un filtru liniar, invariant în timp, cu răspunsul la impuls h[n], când este excitat de o secvenţă e[n] cu media me. Rezultatul este [48]

∑∞

=

=0

][n

ez nhmm (5.117)

sau, echivalent, )0(Hmm ez = (5.118)

unde H(0) valoarea răspunsului în frecvenţă H(ω) la ω = 0. Deoarece eroarea de cuantizare datorată rotunjirii are media zero, valoarea medie a erorii la ieşire este mz=0. A doua relaţie importantă este expresia pentru secvenţa de autocorelaţie a ieşirii z[n] a unui filtru cu răspunsul la impuls h[n] la secvenţa aleatoare de intrare e[n]. Aceasta este [63]

∑∑∞

=

=

+−=00

][][][][l

eek

zz nlklhkhn γγ (5.119)

unde ][neeγ este funcţia de autocorelaţie a secvenţei de intrare e[n]. În cazul particular când secvenţa aleatoare este zgomot alb, secvenţa de autocorelaţie γee[n] este un impuls scalat cu dispersia σe

2, adică [34]

][][ 2 nn eee δσγ = (5.120)

După substituţia relaţiei (5.120) în (5.119), se obţine secvenţa de autocorelaţie de la ieşirea filtrului excitat cu zgomot alb

∑∞

=

+=0

2 ][][][k

ezz nkhkhn σγ (5.121)

Dispersia 2zσ a zgomotului de ieşire este obţinută evaluând γzz[n]

la n = 0, adică [34]

∑∞

−∞=

=k

ez kh ][222 σσ (5.122)

sau, cu ajutorul teoremei lui Parseval [63], expresia alternativă ωω

πσ

σπ

πdHe

z

222 )(

2 ∫−= (5.123)

În cazul filtrului cu un singur pol, răspunsul la impuls este

Page 551: Prelucrarea digitala a semnalelor

295

][][ nuanh n= (5.124)

Dispersia erorii la ieşirea filtrului rezultă

2

2

0

222

1 aa e

k

kez −

== ∑∞

=

σσσ (5.125)

Se observă că puterea zgomotului 2zσ la ieşirea filtrului este

mărită faţă de puterea zgomotului de la intrare, 2eσ , cu factorul 1/(1−a2).

Acest factor creşte odată cu apropierea polului de cercul unitate. Fie, în continuare, un filtru recursiv de ordinul doi:

[ ] [ ] [ ] [ ] [ ]121 1021 −++−−−−= nxbnxbnyanyany (5.126) În calculul ieşirii sunt implicate patru multiplicări, dacă 1a , 2a , 0b

şi 1b nu sunt egali cu unitatea. Zgomotul de rotunjire asociat cu fiecare multiplicare este [ ]nei , 3,0=i .

Se consideră întâi realizarea în forma directă I, ca în figura 5.15.

Fig. 5.15. Zgomotul de rotunjire la multiplicare pentru un filtru de ordinul doi

în forma directă I

Deoarece toate sursele de zgomot se adună în acelaşi punct, acestea pot fi înlocuite cu o sursă de zgomot echivalentă

[ ] [ ]∑=

=3

0ii nene (5.127)

Se observă că în implementarea în forma directă I, zgomotul trece numai prin partea de sistem ce conţine numai poli, adică zerourile nu au nici un efect asupra zgomotului din ieşire.

În cazul rotunjirii, când pasul de cuantizare este constant, dispersia unei surse de zgomot este

Page 552: Prelucrarea digitala a semnalelor

296

3,2,1,0,12

22 =

∆= i

ieσ . (5.128)

Presupunând erorile de cuantizare independente, dispersia zgomotului rezultat este suma dispersiilor componentelor

3

23

0

22 ∆== ∑

=iee ii

σσ (5.129)

Pentru cazul general al formei directe I, când sistemul are M+1 multiplicări pentru zerouri şi N multiplicări pentru poli cu coeficienţi diferiţi de 0 şi 1, dispersia surselor de zgomot este

12)1(

22 ∆

++= NMeσ (5.130)

Porţiunea din filtru prin care trece zgomotul de rotunjire este arătată în figura 5.16. Ieşirea [ ]nz datorată zgomotului formează o parte a ieşirii cuantizate.

Figura 5.16. Porţiunea din filtrul recursiv afectată de zgomotul de rotunjire pentru

realizarea în forma directă I.

Pentru figura 5.16 se poate scrie

( ) 22

111

1')()(

−− ++==

zazazH

zEzZ (5.131)

Evident, această funcţie de transfer diferă de cea a filtrului care include şi zerouri, care este

( ) 22

11

110

1 −−

+++

=zaza

zbbzH (5.132)

Conform relaţiei (5.74), dispersia totală de regim permanent a ieşirii datorate zgomotului de rotunjire este

( ) ( )∑ −−∆=

unitatecerculdinpolii

zss zzHzHluiereziduuril 11''2

20 3

σ (5.133)

Page 553: Prelucrarea digitala a semnalelor

297

cu ( )zH ' dat de (5.131). În cazul formei directe I dispersia totală de regim permanent a zgomotului datorat rotunjirii multiplicărilor este

( ) ( )

∫∆

++=

=∆

++= −−

n

zss

nhNM

dzzzHzHj

NM

22

11''2

20

]['12

)1(

21

12)1(

πσ

(5.134)

unde ∑=

−+= N

k

kk za

zH

11

1)(' este partea care conţine toţi polii sistemului.

În continuare, se consideră implementarea canonică (forma directă II) a filtrului descris de (5.126), caz în care erorile de rotunjire pot fi reprezentate ca surse de zgomot poziţionate ca în figura 5.17.

Figura 5.17. Zgomotul de rotunjire al produselor pentru un filtru recursiv implementat în

forma canonică

Se

observă că semnalule de eroare [ ] [ ]∑=

=3

2iiA nene cu dispersia

6

2∆ , trece

prin tot filtru, în timp ce [ ] [ ]∑=

=1

0iiB nene cu dispersia

6

2∆ este un zgomot

adunat direct la ieşire. În acest caz dispersia de regim permanent a ieşirii datorată zgomotului de rotunjire a produselor este suma dispersiilor zgomotelor determinate de cele două semnale de eroare ][neA şi ][neB .

Page 554: Prelucrarea digitala a semnalelor

298

( ) ( )

+

∆= ∑ −−

unitatecerculuierioruldinpolii

zss zzHzHluiereziduurilint

112

20 1

6σ (5.135)

cu ( )zH dat de (5.132). Pentru cazul general al formei directe II pentru filtrul IIR, când coeficienţii acestuia sunt diferiţi de 0 şi 1, dispersia de regim permanent a zgomotului de ieşire este

( ) ( )

∫∆

++∆

=

=∆

++∆

= −−

n

czss

MnhN

MdzzzHzHj

N

12)1(][

12

12)1(

21

122

22

211

220 π

σ (5.136)

Fără a considera valori numerice pentru coeficienţi, numai din compararea relaţiilor (5.134) şi (5.136), nu este posibil a decide care dintre aceste forme de implementare produce un zgomot de ieşire mai mic datorat erorii de cuantizare a produselor. Exemplul 5. 10. Să se determine dispersia de regim permanent a zgomotului de ieşire, datorat rotunjirii aritmetice, a filtrului cu funcţia de sistem

( ) 221

110

cos21 −−

+−+

=zrzr

zbbzHθ

implementat în a) formă directă I b) forma directă II

dacă r=0,9, 4πθ = , 1,10 =b , 3,01 =b şi pasul de cuantizare ∆ . Soluţie. a) Din figura 5.15 şi 5.16 rezultă că dispersia de regim permanent a zgomotului de ieşire este

( ) ( )( )

2242

22

112

20

92,112cos2

111

3

''3

'

∆=+−−

+∆=

=∆

= ∑ −−

θ

σ

rrrr

zzHzHluiereziduuril

zHluiaiunitatecerculdinpolii

zss

cu ( ) 221cos211' −− +−

=zrzr

zHθ

b) Din figura (5.17) rezultă

Page 555: Prelucrarea digitala a semnalelor

299

( ) ( )( )

( )( )( )( )

2224

1022

120

2

1122

20

07,1112cos2

cos411

6

66

∆=

−+−

−+++

∆=

=∆

+∆

= ∑ −−

rrrrbbrbb

zzHzHluiereziduurilzHluipolii

zss

θθ

σ

Se observă că forma directă II (canonică) produce un zgomot de ieşire mai mic pentru valorile date ale parametrilor decât forma directa I şi că valorile b0 şi b1 nu afectează dispersia zgomotului de ieşire în forma directă I.

Ecuaţiile (5.134) şi (5.136) arată că structurile în forma directă I şi II sunt afectate diferit de cuantizarea produselor în implementarea ecuaţiilor cu diferenţe corespunzătoare. În general, alte structuri echivalente, cum ar fi cele în cascadă, în paralel, lattice şi formele transpuse vor avea dispersii totale ale zgomotului la ieşire diferite de cele din structurile în formă directă. Nu se poate spune care sistem va avea dispersia de zgomot la ieşire cea mai mică, dacă nu se cunosc valorile coeficienţilor.

Îmbunătăţirea performanţei de zgomot a sistemelor numerice este posibilă folosind sumatoare şi acumulatoare pe un număr mai mare de biţi. Această soluţie presupune însă o complicare semnificativă a realizării “hard” a schemei.

5.6. Oscilaţii cu ciclu-limită în sisteme recursive

În secţiunile anterioare au fost analizate erorile care apar în operaţiile aritmetice realizate de un filtru digital. Prezenţa unuia sau a mai multor cuantizoare în implementarea unui filtru digital, conduce la un dispozitiv neliniar a cărui caracteristică poate fi semnificativ diferită de cea a filtrului ideal. Efectele neliniare datorate aritmeticii cu precizie finită, îngreunează analiza performanţelor unui filtru digital. Pentru a efectua o analiză a efectului cuantizării, s-a adoptat o caracterizare statistică a erorilor de cuantizare, ceea ce a condus în final la un model liniar pentru filtru.

În sistemele recursive, neliniaritatea datorată efectuării operaţiilor matematice în aritmetică finită poate cauza oscilaţii periodice la ieşire, chiar dacă secvenţa de intrare este zero sau o valoare constantă, nenulă. Astfel de oscilaţii în sistemele recursive sunt numite cicluri limită şi pot fi direct atribuite erorii de rotunjire sau trunchiere la multiplicare. Aceste

Page 556: Prelucrarea digitala a semnalelor

300

oscilaţii pot fi reduse folosind registre pe mai mulţi biţi. Al doilea tip de oscilaţii numit oscilaţii de depăşire poate apărea când intrarea cuantizorului depăşeşte domeniul dinamic. Aceste oscilaţii au, de obicei, amplitudine mare şi nu pot fi reduse prin creşterea numărului de biţi.

5.6.1. Cicluri limită datorate rotunjirii Fenomenul ciclurilor limită este diferit de comportamentul zgomotului cauzat de cuantizare. Efectele cuantizării se identifică cu zgomotul când nivelul semnalului este mare şi foarte variabil, făcând eroarea de cuantizare, la orice moment de timp, aproape independentă de erorile anterioare. Când nivelul semnalului este scăzut, erorile cauzate de cuantizare devin corelate. Ciclurile limită sunt periodice, dar nu neapărat sinusoidale. Ele sunt susceptibile a apărea acolo unde există reacţie în filtru; filtrele IIR au întotdeauna mecanisme de reacţie în interiorul lor, deci astfel de oscilaţii pot apărea la ieşirea lor. Spre deosebire de acestea, filtrele FIR nu conţin mecanisme de reacţie şi, în consecinţă, ele nu vor prezenta oscilaţii la ieşire. Acesta este un avantaj al filtrelor FIR faţă de cele IIR. Tratarea generală a comportării pe cicluri limită a filtrelor digitale este dificilă, motiv pentru care se vor analiza structurile de ordinul 1 şi 2. Pentru a ilustra caracteristica unei oscilaţii de ciclu limită, se consideră un sistem cu un singur pol, descris de ecuaţia liniară cu diferenţe

][]1[][ nxnayny +−= (5.137)

în care polul este situat la z=a. Sistemul ideal este prezentat în figura 5.18a.

Figura 5.18. a) Sistemul recursiv ideal cu un singur pol b) Sistemul neliniar real

Sistemul real, care este descris de ecuaţia neliniară cu diferenţe

][]]1[[][ nxnavQnv +−= (5.138)

Page 557: Prelucrarea digitala a semnalelor

301

este realizat ca în figura 5.18b. Se presupune că sistemul real din figura 5.18b este implementat cu

o aritmetică în virgulă fixă cu patru biţi pentru amplitudine şi un bit pentru semn. Cuantizarea care se face după multiplicare este presupusă a rotunji produsul prin adaos. În Tabelul 5.4 se prezintă răspunsul sistemului real pentru patru poziţii diferite ale polului z=a şi intrarea x[n]=βδ [n], unde β=15/16, care are reprezentarea binară 0,1111.

Tabel 5.4 Cicluri limită pentru un filtru cu un singur pol n a=0,1000

= 1/2 a=1,1000 = −1/2

a=0,1100 = 3/4

a=1,1000 = −3/4

0 0,1111 (15/16) 0,1111 (15/16) 0,1011 (11/16) 0,1011 (11/16) 1 0,1000 ( 7/16) 1,1000 (−7/16) 0,1000 ( 8/16) 1,1000 (−8/16) 2 0,0100 ( 3/16) 0,0100 ( 3/16) 0,0110 ( 6/16) 0,0110 ( 6/16) 3 0,0010 ( 1/16) 1,0010 (−1/16) 0,0101 ( 5/16) 1,0101 (−5/16) 4 0,0001 ( 1/16) 0,0001 ( 1/16) 0,0100 ( 4/16) 0,0100 ( 4/16) 5 0,0001 ( 1/16) 1,0001 (−1/16) 0,0011 ( 3/16) 1,0011 (−3/16) 6 0,0001 ( 1/16) 0,0001 ( 1/16) 0,0010 ( 2/16) 0,0010 ( 2/16) 7 0,0001 ( 1/16) 1,0001 (−1/16) 0,0010 ( 2/16) 1,0010 (−2/16) 8 0,0001 ( 1/16) 0,0001 ( 1/16) 0,0010 ( 2/16) 0,0010 ( 2/16)

În mod ideal, răspunsul sistemului ar trebui să scadă exponenţial

spre zero ( y[n]= an → 0 când n→ ∞). În sistemul real, totuşi, răspunsul v[n] atinge o stare stabilă periodică la ieşire, cu o perioadă ce depinde de valoarea polului. Când polul este pozitiv, oscilaţiile au loc cu perioada Np = 1, astfel încât ieşirea atinge o valoare constantă de 1/16 pentru a=1/2 şi 1/8 pentru a=3/4. Acest fenomen este numit ciclu limită cu frecvenţă zero.

Pe de altă parte, când polul este negativ, secvenţa de ieşire oscilează între valori pozitive şi negative (±1/16 pentru a = −1/2 şi ±1/8 pentru a = −3/4). Prin urmare, perioada este Np = 2. Se obţine astfel o oscilaţie de amplitudine constantă, a cărei pulsaţie este egală cu π şi a cărei amplitudine este ±1/16 sau ±1/8.

Aceste cicluri-limită apar ca rezultat al efectului de cuantizare în multiplicări. Când secvenţa de intrare x[n] devine zero, ieşirea intră într-un ciclu limită după un număr de iteraţii. Ieşirea rămâne în acest ciclu limită până când este aplicat un alt semnal de intrare, suficient de puternic, pentru a scoate sistemul din ciclu. În mod similar, ciclurile limită cu intrare zero apar din condiţii iniţiale nenule. Amplitudinea ieşirii

Page 558: Prelucrarea digitala a semnalelor

302

pe perioada ciclului limită este inclusă într-un domeniu de valori care este numit “banda moartă” a filtrului. Frecvenţa şi amplitudinea ciclului limită depind de coeficienţi, condiţii iniţiale, metoda de cuantizare şi lungimea cuvântului.

Este interesant de menţionat faptul că atunci când răspunsul filtrului cu un pol este în ciclu limită, sistemul neliniar real lucrează ca un sistem liniar echivalent, cu un pol la z=1, atunci când polul este pozitiv (a>0), şi z = -1, când polul este negativ (a<0). Aceasta înseamnă

<−−>−

=−0],1[

0],1[]]1[[

anvanv

navQr (5.139)

Deoarece produsul av[n-1] este rotunjit, eroarea de cuantizare este limitată de

br navvaQ −≤−−− 2

21]1[]]1[[ (5.140)

unde b este numărul de biţi (exclusiv semnul) utilizat în reprezentarea polului a şi a lui v[n]. Prin urmare, relaţiile (5.139) şi (5.140) conduc la

bnavnv −≤−−− 221]1[]1[

şi, deci

a

nvb

−≤−

1

221

]1[ (5.141)

Când coeficientul a este pozitiv, răspunsul ciclului limită se numeşte de curent continuu (are amplitudine şi semn constante), iar dacă a este negativ comportamentul ciclului limită are amplitudine constantă dar semn alternant. Expresia din (5.141) defineşte zona sau banda moartă pentru un filtru cu un singur pol. De exemplu, când b = 4 şi a=1/2 banda moartă este cuprinsă în domeniul (-1/16, 1/16) pentru amplitudini, iar pentru b = 4 şi a=3/4, banda moartă creşte la (-1/8, 1/8). Comportarea ciclului limită în cazul unui filtru cu doi poli este mult mai complexă prin faptul că poate apărea o mai mare varietate de oscilaţii. În acest caz sistemul ideal cu doi poli este descris de ecuaţia liniară cu diferenţe

][]2[]1[][ 21 nxnyanyany +−+−= (5.142) în timp ce sistemul real este descris de ecuaţia neliniară cu diferenţe

Page 559: Prelucrarea digitala a semnalelor

303

][]]2[[]]1[[][ 21 nxnvaQnvaQnv rr +−+−= (5.143) Când coeficienţii filtrului satisfac condiţia a1

2 < −4a2, polii sistemului apar la θjrez ±=2,1 , unde a2 = −r2 şi a1 = 2rcosθ. Ca şi în cazul filtrului cu un singur pol, când sistemul este într-un ciclu limită cu intrare zero [49],

]2[]]2[[ 2 −−=− nvnvaQr , (5.144) adică sistemul se comportă ca un oscilator cu polii complex-conjugaţi situaţi pe cercul unitate (a2 = −r2 = −1 ). Rotunjirea produsului av[n-2] implică

br nvanvaQ −≤−−− 2

21]2[]]2[[ 22 (5.145)

După substituţia lui (5.144) în (5.145), se obţine bnvanv −≤−−− 2

21]2[]2[ 2

sau, echivalent

21

221

]2[a

nvb

−≤−

(5.146)

Expresia din (5.146) defineşte banda moartă a unui filtru de ordin doi cu poli complex conjugaţi. Se observă că limitele benzii moarte depind doar de a2. Parametrul a1 = 2rcosθ determină doar frecvenţa oscilaţiilor. Un alt ciclu limită posibil cu intrarea zero, care este numai amintit şi care apare ca rezultat al rotunjirii multiplicărilor, corespunde unui sistem echivalent de ordinul doi cu polii la z = ±1.

Este interesant de menţionat cum ciclurile limită descrise anterior au rezultat prin rotunjirea produsului dintre coeficienţii filtrului şi ieşirile precedente v[n -1] şi v[n -2]. În locul rotunjirii, se poate alege a trunchia produsul la b biţi, caz în care se pot elimina multe din ciclurile limită, dar această soluţie nu este foarte agreată, deoarece trunchierea are ca rezultat o deplasare a valorii medii a erorii, excepţie făcând cazul când se foloseşte reprezentarea semn-valoare unde eroarea de trunchiere este simetrică faţă de zero. În realizarea în paralel a diverselor sisteme IIR de ordin înalt cu secţiuni de ordinul doi, fiecare secţiune generează propriul ciclu limită, fără interacţiune între secţiunile de filtru de ordin doi. Prin urmare, ieşirea

Page 560: Prelucrarea digitala a semnalelor

304

este o sumă a ciclurilor limită cu intrare zero a secţiunilor individuale. În cazul realizării în cascadă pentru un sistem IIR de ordin înalt, ciclurile limită sunt mult mai greu de analizat. În particular, când prima secţiune de filtru generează un ciclu limită cu intrare zero, acesta este filtrat de secţiunile succesive. Dacă frecvenţa ciclului limită este apropiată de frecvenţa de rezonanţă a filtrului următor din succesiune, amplitudinea secvenţei va fi mărită de caracteristica de rezonanţă. În general, trebuie evitate astfel de situaţii.

5.6.2. Cicluri limită datorate depăşirii Un tip mult mai sever de cicluri limită poate apărea datorită depăşirii aritmetice din interiorul filtrelor care folosesc aritmetica în complement faţă de unu sau în complement faţă de doi. Aceste cicluri limită sunt cunoscute sub numele de oscilaţii de depăşire. O depăşire la adunarea a două sau mai multe numere binare apare atunci când suma depăşeşte lungimea disponibilă a cuvântului la implementarea digitală a sistemului. De exemplu, se consideră secţiunea de filtru de ordin doi prezentată în figura 5.19, în care adunarea se face în aritmetica complementului faţă de doi.

Figura 5.19. Secţiune de filtru de ordinul doi

Ieşirea din filtru se poate scrie

]][]2[]1[[][ 21 nxnyanyagny +−+−= (5.147) unde funcţia g[.] reprezintă adunarea în complement faţă de doi. Figura 5.20 prezintă caracteristica intrare-ieşire g[v] a sumatorului în complement faţă de doi.

Page 561: Prelucrarea digitala a semnalelor

305

Figura 5.20. Caracteristica funcţională pentru adunarea în complement faţă de doi a două

sau mai multe numere

Domeniul de valori al parametrilor (a1, a2) pentru un filtru stabil este precizat de triunghiul de stabilitate [63]. Totuşi, aceste condiţii nu sunt de ajuns pentru a preveni oscilaţiile datorate depăşirii din aritmetica în complement faţă de doi. Condiţia necesară şi suficientă pentru a nu apărea cicluri limită datorate depăşirii, este [49]

a a1 2 1+ < (5.148) care este o condiţie extrem de restrictivă şi duce la o constrângere nerezonabilă asupra oricărei secţiuni de filtru de ordin doi. Un remediu efectiv pentru rezolvarea problemei oscilaţiilor provocate de depăşire este de a modifica caracteristica sumatorului, ca în figura 5.21, care operează cu saturare numerică. Atunci când este sesizată o depăşire (sau o subdepăţire), ieşirea sumatorului va avea valoarea maximă de capăt de scară ±1. Distorsiunea cauzată de această neliniaritate în sumator este de obicei mică deoarece saturaţia apare rar. Folosirea unei astfel de neliniarităţi nu elimină necesitatea scalării semnalelor şi a parametrilor sistemului, aşa cum va fi descris în paragraful următor.

Figura 5.21. Caracteristica funcţională pentru adunare cu saturare la ±1

Page 562: Prelucrarea digitala a semnalelor

306

Ilustrarea oscilaţiilor datorate depăşirii se face pe exemplul următor. Se consideră secţiunea de filtru de ordin doi caracterizată de ecuaţia (5.143) în care adunarea se face în aritmetica complementului faţă de doi, cu lungimea cuvintelor de 4 biţi, incluzând bitul de semn, şi se foloseşte rotunjirea pentru reprezentările în complement faţă de doi. Se presupune că a1 = 3/4 = 0,110 şi a2 = - 3/4 = 1,010 şi, de asemenea, că x[n] rămâne zero pentru n ≥ 0. Se consideră condiţiile iniţiale v[-1] = (3/4)10 = (0,110)2C şi v[-2] = (-3/4)10 = (1,010)2C. Eşantionul de la ieşire la momentul n=0 va fi

v [0] = 0,110•0,110+1,010•1,010 = 0,100100 + 0,100100. Dacă se rotunjeşte fiecare produs, rezultă v[0] = 0,101+0,101 = 1,010 = -3/4. În mod similar se obţine v[1] = 1,011+1,011 = 0,110 = 3/4,

adică, v[n] va continua să oscileze între –3/4 şi 3/4 până ce este aplicat un semnal de intrare care să scoată sistemul din acest ciclu limită. Acesta este un exemplu de oscilaţii de depăşire. Sistemele de ordin mai mare au o comportare mai complexă.

5.7. Scalarea pentru prevenirea depăşirii Saturaţia aritmetică descrisă în paragraful anterior elimină ciclurile limită datorate depăşirii pe de o parte, dar, pe de altă parte, duce la distorsiuni nedorite ale semnalelor, în acest caz nemaifuncţionând regula conform căreia, dacă se adună mai multe numere a căror sumă este de modul subunitar, rezultatul este corect, chiar dacă apar depăşiri în etapele intermediare de calcul. Pentru a limita aceste distorsiuni neliniare se scalează semnalul de intrare şi răspunsul la impuls între intrare şi orice nod din sistem, astfel încât să nu se depăşească gama dinamică.

Efectul depăşirii este mult mai sever pentru un filtru recursiv, decât pentru unul nerecursiv, deoarece erorile sunt filtrate din nou (datorită reacţiei) ceea ce face ca filtrul să devină inutilizabil în scurt timp. Pentru ambele tipuri de filtre, scalarea este necesară pentru reducerea amplitudinii semnalelor în anumite limite, evitându-se depăşirea în condiţii normale de lucru. Există mai multe reguli de scalare, care vor fi prezentate în cele ce urmează.

Page 563: Prelucrarea digitala a semnalelor

307

5.7.1. Norme de scalare 5.7.1.1. Scalarea după norma l1 Se analizează toate nodurile în care ar putea apărea depăşiri şi

fiecare nod din reţea este constrâns să aibă o amplitudine mai mică decât 1, pentru a evita depăşirea. Dacă ][nwi reprezintă valoarea variabilei asociată nodului i iar ][nhi este răspunsul la impuls de la nodul de intrare, căruia îi este asociată variabila ][nx , până la nodul i, atunci se poate scrie

∑∞

=

−=om

ii mhmnxnw ][][][ . (5.149)

Considerând că ][ mnx − are valoarea maximă maxx , rezultă

∑∞

=

≤0

max ][][m

ii mhxnw . (5.150)

O condiţie suficientă ca 1][ <nwi este ca

∑∞

=

<

0

max

][

1

mi mh

x (5.151)

pentru toate nodurile din reţea. Mărimea ∑∞

=

==1

011 ][

mii mhhl se numeşte

norma 1l a lui ih . Dacă maxx nu satisface ecuaţia (5.151), atunci se poate

multiplica ][nx cu factorul de scalare

<1

11mini

i hs la intrarea

sistemului, astfel încât max1xs să satisfacă (5.151) pentru toate nodurile din reţea, adică

<

∑∞

=0

max1

][max

1

mii

mhxs (5.152)

Scalând intrarea pe această cale se garantează că depăşirea nu apare niciodată la nici unul din nodurile de reţea. La ieşire se

compensează scalarea prin înmulţirea cu 1

1s

, astfel încât să nu se

modifice funcţia de transfer a filtrului. Relaţia (5.152) conduce la o

Page 564: Prelucrarea digitala a semnalelor

308

scalare foarte severă, care se mai numeşte şi scalare de sumă. În practică scalarea nu este făcută niciodată aşa puternic, pentru că înrăutăţeşte raportul semnal-zgomot, fapt ce va fi arătat ulterior.

5.7.1.2. Scalarea după norma l∞ Dacă se dispune de cunoştinţe suplimentare despre intrare, se

poate alege factorul de scalare, s∞, mai mare, astfel încât să se garanteze lipsa depăşirii. Dacă intrarea este un semnal de bandă îngustă modelat cu

)cos(][ 0max nxnx ω= , variabilele de noduri vor fi [39] )](cos[)(][ 00max0 ωωω iii HnxHnw ∠+= (5.153)

Depăşirea este evitată pentru toate semnalele armonice dacă 1)(max max,

<≤

xH iiω

πω (5.154)

Mărimea )(max ωπω ii HHl≤∞∞ == se numeşte norma ∞l a lui Hi.

Dacă intrarea este scalată prin factorul de scalare

<∞

∞i

i Hs 1min rezultă

)(max

1

,

max ωπω ii

Hxs

∞ < (5.155)

5.7.1.3. Scalarea după norma l2 O altă abordare posibilă este de a scala intrarea astfel încât energia

fiecărei variabile de nod să fie mai mică sau egală cu energia totală a secvenţei de intrare. Se poate obţine scalarea corespunzătoare folosind inegalitatea Schwartz Buniacovski şi teorema lui Parseval [63].

∑∑

∑∑∑∞

=

=

=

=

=

=

=−≤−=

0

2

0

2

0

2

0

22

0

2

][][

][][][][][

kki

kki

kii

kxkh

knxkhknxkhnw (5.156)

Pentru a asigura condiţia de nedepăşire a energiei semnalului de

intrare de către varialilele de noduri, adică ∑∞

=

≤0

22 ][][n

i nxnw , unde

Page 565: Prelucrarea digitala a semnalelor

309

xn

Enx =∑∞

=0

2][ este energia semnalului de intrare, se poate multiplica

secvenţa ][nx cu factorul de scalare 2s , ales astfel încât

∫∑−

=

=≤ π

π

ωωπ

dHnhs

iinii

2

0

2

22

)(21max

1

][max

1 (5.157)

Mărimea 2/1

0

2

22 ][

== ∑

=nii nhhl se numeşte norma 2l a lui ih .

5.7.1.4. Scalarea după norma lp Metodele anterioare pot fi generalizaze în sensul normei lp.

Norma pl a unei transformate Fourier )(ωH este definită ca [39]

ωωπ

π

π

dHHlpp

pp

1

)(21

== ∫

(5.158)

Se poate arăta că, în general, este îndeplinită inegalitatea [26]

qipi HXnw ≤][ (5.159)

unde p şi q sunt întregi astfel încât

.111=+

qp (5.160)

Pentru orice secvenţă ][nh cu transformata Fourier )(ωH există relaţia [23]

p

HH ≥∞

, oricare ar fi .*Np∈

Ca urmare, scalarea ∞l reduce nivelele de semnal într-o măsură mai mare decât alte scalări de tip pl . Cele mai folosite scalări sunt 2l , ∞l , precum şi scalarea de sumă. Se poate arăta că există relaţia [23]

∑∑∞

=

=

≤≤00 ,

2 ][)(max][n

in

iii nhHnh ωω

, (5.161)

adică .12 lll ≤≤ ∞ Dintre acestea, cea mai severă este scalarea de sumă, care este şi

dificil de calculat. Cel mai uşor de evaluat analitic este relaţia (5.157), deoarece această integrală poate fi calculată folosind teorema reziduurilor a lui Cauchy [1].

Page 566: Prelucrarea digitala a semnalelor

310

Deoarece în implementarea filtrelor recursive intervin mai multe puncte de sumare, ieşirea fiecăruia trebuie scalată pentru a evita depăşirea, deci vor fi mai multe răspunsuri la impuls hi[n] şi funcţii de sistem corespunzătoare, Hi(z), care fac legătura între intrarea x[n] şi semnalele intermediare wi[n]. 5.7.2. Interacţiunea dintre domeniul dinamic şi zgomot Normele de scalare 12 ,, lll ∞ reprezintă trei moduri de a obţine coeficienţi de scalare pentru intrarea unui filtru digital. Prin scalarea intrării cu factorul 2,,1, ∞=ps p , raportul semnal / zgomot de cuantizare la ieşire scade. În figura 22 a,b, se prezintă un sistem IIR de ordinul doi, implementat în forma directă I şi forma directă II, cu intrarea scalată. În figura 22 a, factorul de scalare s-a combinat cu coeficienţii bk, astfel încât sursa de zgomot este aceeaşi ca în cazul fără scalare, prezentat în figura 5.15. Deoarece acest zgomot este filtrat din nou de partea de filtru care conţine polii, puterea zgomotului de ieşire este aceeaşi pentru sistemul nescalat, reprezentat în figura 5.15 şi cel scalat, reprezentat în 5.22a. Pentru sistemul din figura 22a, funcţia de sistem este )(zHs p , faţă de

)(zH a sistemului cu intrarea nescalată şi, corespunzător, ieşirea este ][][' nysny p= , în loc de ][ny . Deoarece zgomotul este injectat după

scalare, raportul dintre puterea semnalului şi cea a zgomotului în sistemul scalat este de 2

ps ori raportul semnal/zgomot pentru sistemul nescalat din figura 5.15. Cum 1<ps atunci când este necesară scalarea, raportul semnal / zgomot la ieşirea filtrului se reduce prin scalare.

Figura 5.22. Scalarea sistemelor de ordinul doi. a) Forma directă I, b) Forma directă II

Page 567: Prelucrarea digitala a semnalelor

311

În cazul implementării în forma directă II din figura 22b factorul de scalare trebuie determinat astfel încât sa se evite depăşirea în ambele noduri încercuite. Funcţia de sistem a filtrului scalat este )(zHs p . Factorul de scalare 2,,1, ∞=ps p , contribuie cu o sursă suplimentară de zgomot la ][nea a sistemului nescalat reprezentat în figura 5.17. Acest zgomot este filtrat în acelaşi mod de sistemul nescalat şi de cel scalat. Prin urmare, puterea semnalului se multiplică cu 2

ps , iar puterea zgomotului de ieşire este dată de relaţia (5.136), cu N înlocuit cu (N+1), astfel încât raportul semnal/zgomot se reduce şi în acest caz, dacă se efectuează scalarea pentru a evita depăşirea. În concluzie, cu cât o regulă de scalare conduce la un factor de scalare mai scăzut, se reduce probabilitatea depăşirii, dar se reduce şi raportul semnal/zgomot de cuantizare. Acest fapt reprezintă interacţiunea dintre domeniul dinamic şi zgomot. Din acest motiv prezintă interes găsirea unor structuri caracterizate de zgomot de cuantizare minim în condiţii de scalare precizate. Utilizarea unor structuri în formă directă de ordin mare nu conduce la rezultate satisfăcătoare din acest punct de vedere, astfel încât sunt preferate structurile în cascadă sau în paralel, realizate cu secţiuni de ordinul doi. În continuare sunt date schemele de scalare pentru structurile în cascadă şi în paralel. 5.7.3. Scalarea în realizarea în cascadă şi în paralel 5.7.3.1. Analiza realizării în cascadă În figura 5.23 este prezentat un sistem implementat cu K module de ordinul doi, fiecare din acestea implementat în forma canonică, conectate în cascadă.

Figura 5.23. Scalarea la realizarea în cascadă a unui filtru cu K celule de ordinul doi

Page 568: Prelucrarea digitala a semnalelor

312

Se notează cu Fk, k=1,...K, funcţia de sistem a unui modul de ordinul doi.

22

11

22

110

1)( −−

−−

++++

=zazazbzbbzF

kk

kkkk (5.162)

pipi Hl )(ω= ; i=1, 2, 3,…,K, p = 1, 2, ∞, reprezintă norma după care s-a

efectuat scalarea, iar )(ωiH - funcţia de transfer de la intrare la nodul iw .

22

11

1

1

1

)()( −−

=

++=

∏zaza

zFzH

ii

i

kk

i (5.163)

coeficienţii )1( +ip

pi

ll

pot fi încorporaţi în iii bbb 210 ,, .

Ţinând seama de cele prezentate în paragraful precedent, scalarea este propriu-zis necesară numai pentru secţiunile pentru care normele

pipi Hl )(ω= sunt supraunitare. Dacă, însă, 1)( ≤piH ω , rezultă că nu

este necesară scalare pentru celula respectivă, ceea ce ar corespunde unui factor de scalare unitar, fără efect asupra zgomotului de cuantizare. Totuşi, dacă se scalează intrarea într-o secţiune de ordinul doi cu un factor supraunitar, care va amplifica semnalul, va creşte raportul semnal/zgomot, prin utilizarea eficientă a gamei dinamice a filtrului. Astfel, scalarea poate fi privită nu numai ca un mod de a evita depăşirea, ci şi de adaptare a nivelului semnalului la gama dinamică a filtrului.

În cazul unui filtru numeric IIR de ordin mare realizat prin conectarea în cascadă a unor structuri de ordinul doi, puterea zgomotului la ieşire depinde de modul în care polii şi zerourile sunt împerecheate pentru a forma structuri de ordinul doi şi de ordinea secţiunilor în cascadă. Se poate observa că pentru K secţiuni de ordin doi există K! posibilităţi de a împerechea polii şi zerourile şi K! posibilităţi de a ordona secţiunile de ordinul doi rezultate. Rezultă în total (K!)2 sisteme diferite. În plus, se poate alege oricare din formele directe I sau II (sau transpusele lor) pentru implementarea secţiunilor de ordinul doi. Chiar şi pentru sisteme de ordin mic problema împerecherii şi ordonării nu este simplă, deoarece necesită un volum mare de calcule. Se defineşte factorul (sau câştigul) de vârf pentru celula k cu relaţia

Page 569: Prelucrarea digitala a semnalelor

313

21

221 )(

)(max

=

∫−

π

ππ

ω

ωω

ωρ

dH

H

k

k

k (5.164)

În ciuda dificultăţii găsirii unei împerecheri şi ordonări optime, Jackson a arătat că o grupare optimă minimizează factorii de vârf şi a găsit că se pot obţine rezultate bune aplicând următoarele reguli simple [23]:

1. Polul care este cel mai apropiat de cercul de rază unitate din planul Z, trebuie împerecheat cu zeroul cel mai apropiat de el;

2. Regula 1 se aplică repetat până ce toţi polii şi zerourile au fost împerecheate;

3. Secţiunile de ordinul doi rezultate trebuie ordonate în funcţie de apropierea polilor de cercul unitate, fie în ordinea crescătoare, fie descrescătoare a apropierii polilor de cercul unitate.

Regulile de împerechere sunt bazate pe observaţia că subsistemele cu câştig (factor) de vârf foarte mare sunt nedorite pentru că ele pot cauza depăşiri şi pot amplifica zgomotul de cuantizare. Împerechind un pol ce este apropiat de cercul unitate, cu un zerou adiacent se tinde să se reducă câştigul de vârf al secţiunii. O motivaţie pentru regula 3 este aceea că pentru ca spectrul zgomotului de ieşire să nu aibă o alură ascuţită, cu un maxim puternic în apropierea unui pol ce este apropiat de cercul de unitate din planul Z, este de dorit ca aceşti poli să fie la începutul schemei în cascadă. Pe de altă parte, răspunsul în frecvenţă la ieşirea unui anumit nod implică produsul răspunsurilor în frecvenţă ale subsistemelor care preced nodul. Astfel, pentru a evita reducerea excesivă a nivelului de semnal în etajele anterioare ale cascadei ar trebui ca polii ce sunt apropiaţi de cercul unitate să fie plasaţi ultimii în cascadă. Se observă că problema ordonării secţiunilor depinde de o varietate de factori, cum ar fi dispersia totală a zgomotului de ieşire şi forma spectrului zgomotului de ieşire. Jackson a folosit norme lp pentru a cuantifica analiza problemei împerecherii şi ordonării polilor şi zerourilor şi a elaborat o serie de reguli empirice pentru obţinerea de rezultate satisfăcătoare, fără a evalua toate posibilităţile. De multe ori, pentru obţinerea unui zgomot cât mai mic, celulele se ordonează în sens crescător al factorului de vârf. În figura 5.24 este prezentată ordonarea secţiunilor de ordinul doi în cascadă în ordinea

Page 570: Prelucrarea digitala a semnalelor

314

crescătoare a selectivităţii, astfel încât celula cea mai selectivă să filtreze zgomotele provenite de la toate filtrele, atenuându-le.

Figura 5. 24. Ordonarea secţiunilor de ordinul doi în cascadă în ordinea crescătoare a

selectivităţii acestora Următorul exemplu ilustrează punctul de vedere conform căruia

ordonarea în cascadă a secţiunilor este importantă în controlarea zgomotului de rotunjire a produselor la ieşirea întregului sistem.

Exemplul 5.11. Să se determine dispersia zgomotului cauzat de rotunjirea produselor, la ieşirea realizării în cascadă a filtrului cauzal, cu funcţia de sistem H z H z H z( ) ( ) ( )= 1 2

unde 1

21

1

411

1)(;

211

1)(−− −

=−

=z

zHz

zH

Soluţie. Fie h[n], h1[n], şi h2[n] răspunsurile la impuls corespunzătoare funcţiilor de transfer H(z), H1(z) şi, respectiv, H2(z). Acestea sunt:

][41

212][],[

41][],[

21][ 21 nunhnunhnunh

nnnn

=

=

=

Cele două realizări în cascadă sunt prezentate în figura 5.25. În prima realizare în cascadă, dispersia zgomotului la ieşire este

+= ∑ ∑

=

=0 0

22

2221 ][][

n nez nhnhσσ

În a doua realizare în cascadă, dispersia este

Page 571: Prelucrarea digitala a semnalelor

315

+= ∑ ∑

=

=0 0

21

2222 ][][

n nez nhnhσσ

∑∑∞

=

=

=−

==−

=0

22

0

21 15

16

1611

1][;34

411

1][nn

nhnh

∑∞

=

=−

+−

−−

=0

2 83,1

1611

1

811

4

411

4][n

nh

Figura 5. 25. Realizări în cascadă

În consecinţă,

22

2

221

16,3

90,2

ez

ez

σσ

σσ

=

=

iar raportul dispersiilor zgomotului de ieşire este 09,121

22 =

z

z

σσ

.

Prin urmare, puterea zgomotului în a doua realizare în cascadă este cu 9% mai mare decât în primul caz.

Page 572: Prelucrarea digitala a semnalelor

316

5.7.3.2. Analiza realizării în paralel

În figura 5.26 este prezentat un sistem implementat cu K module de ordinul doi, conectate în paralel.

Figura 5.26. Scalarea la realizarea în paralel a unui filtru cu K celule de ordinul 2

pipi Hl )(ω= ; i=1, 2, 3, …,K, p = 1, 2, ∞, reprezintă norma după

care s-a efectuat scalarea. )(ωiH - funcţia de transfer de la intrare ][nx la nodul iw . Funcţia

de sistem corespunzătoare este

22

111

1)( −− ++=

zazazH

iii ; i = 1, 2, 3…. (5.165)

Analiza efectelor de cuantizare într-un filtru de ordin doi poate fi direct aplicată la filtrele de ordin superior bazate pe realizări în paralel. În

Page 573: Prelucrarea digitala a semnalelor

317

acest caz, fiecare secţiune de ordinul doi este independentă de celelalte secţiuni şi, deci, puterea totală a zgomotului de cuantizare la ieşire este suma puterii zgomotului de cuantizare a fiecărei secţiuni individuale. Tehnicile de împerechere enunţate anterior pot fi aplicate şi la formele în paralel unde se poate arăta [23] că puterea de zgomot la ieşire este comparabilă cu cele mai bune împerecheri şi ordonări la conectarea în cascadă. Forma în cascadă rămâne totuşi cea mai folosită pentru structurile IIR.

Deoarece structurile IIR cu formele directe I şi II includ şi sistemele FIR în forma directă ca un caz particular, rezultatele şi tehnicile de analiză considerate mai sus se aplică la sistemele FIR, dacă se elimină toate referirile la polii funcţiei de sistem şi se elimină căile de reacţie în toate grafurile de semnal.

Pentru sistemele FIR cu fază liniară, implementarea se poate face cu aproximativ jumătate din multiplicările sistemului FIR general, ceea ce determină reducerea la jumătate a dispersiei zgomotului la ieşire, dacă produsele sunt cuantizate înainte de adunare.

Rezultatele pentru realizările în cascadă de tip IIR sunt aplicabile şi pentru realizările în cascadă de tip FIR, pentru acestea urmărindu-se numai problema ordonării secţiunilor de ordinul doi.

5.7.4. Analiza erorii de cuantizare în cazul scalării intrării

Pentru a obţine o imagine mai clară a efectului erorii de cuantizare, se va considera şi efectul scalării intrării. Se reia cazul filtrului cu un singur pol din exemplul 5.7 prezentat în figura 5.12. Se presupune că secvenţa de intrare x[n] este o secvenţă de zgomot alb, a cărei amplitudine a fost scalată cu norma l1 pentru a preveni depăşirea la adunare. Atunci

∑∞

=

≤0

max ][][n

nhxny Cum se doreşte cay[n]≤ 1, rezultă

anh

x

n

−=≤

∑∞

=

1][

1

0

max (5.166)

Dacă se presupune x[n] uniform distribuit în domeniul (-xmax, xmax), atunci, dispersia semnalului de intrare este 2

xσ = (1- |a|)2/3.

Page 574: Prelucrarea digitala a semnalelor

318

Potrivit relaţiei (5.125), puterea zgomotului la ieşirea filtrului este

2

22

1 ae

z −=

σσ .

Puterea semnalului de la ieşirea filtrului este

2

2

0

222

1 aa x

k

kxy −

== ∑∞

=

σσσ (5.167)

Raportul dintre puterea semnalului de ieşire, 2yσ , şi puterea erorii de

cuantizare, 2zσ , este

)1(222

2

2

2

2)1( +⋅−== b

e

x

z

y aσσ

σσ

(5.168)

Această expresie pentru raportul semnal/zgomot de la ieşirea filtrului arată preţul plătit ca urmare a scalării intrării, mai ales când polul este apropiat de cercul unitate.

Prin comparaţie, dacă intrarea nu este scalată şi sumatorul are un număr suficient de mare de biţi pentru a evita depăşirea, amplitudinea semnalului este în intervalul (-1, 1). În acest caz, dispersia semnalului de intrare este 2

xσ = 1/3, independentă de poziţia polului. Atunci

)1(22

2

2 += b

z

y

σ

σ (5.169)

Diferenţa dintre rapoartele semnal/zgomot din (5.168) şi (5.169) demonstrează necesitatea de a utiliza mai mulţi biţi la adunare, faţă de multiplicare. Numărul biţilor adiţionali depinde de poziţia polului şi trebuie crescut odată cu mutarea polului mai aproape de cercul unitate. În continuare, se consideră un filtru cu doi poli care, cu precizie infinită, este descris de ecuaţia liniară cu diferenţe

][]2[]1[][ 21 nxnyanyany +−+−= (5.170)

unde a1=2rcosθ şi a2= −r2. Când cele două produse sunt rotunjite, rezultă un sistem care este descris de ecuaţia neliniară cu diferenţe

][]]2[[]]1[[][ 21 nxnvaQnvaQnv rr +−+−= (5.171)

Sistemul este prezentat în schema bloc din figura 5.27. Fiind două multiplicări, se produc două erori de cuantizare pentru fiecare ieşire.

Page 575: Prelucrarea digitala a semnalelor

319

Prin urmare, trebuie să se introducă două secvenţe de zgomot e1[n] şi e2[n], care corespund ieşirilor cuantizoarelor

][]2[]]1[[

][]1[]]1[[

222

111

nenvanvaQnenvanvaQ

r

r

+−=−+−=−

(5.172)

Figura 5.27 Filtru cu doi poli cu cuantizoare prin rotunjire a produselor

O diagramă bloc pentru modelul corespunzător este ilustrată în figura 5.28. Se observă că secvenţele de eroare e1[n] şi e2[n] pot fi mutate direct la intrarea filtrului. Ca şi în cazul filtrului de ordinul întâi, ieşirea filtrului de ordin doi poate fi separată în două componente, componenta semnalului dorit şi componenta erorii de cuantizare. Prima poate fi descrisă de ecuaţia cu diferenţe

][]2[]1[][ 21 nxnyanyany +−+−= (5.173)

în timp ce a doua satisface ecuaţia cu diferenţe

][][]2[]1[][ 2121 nenenzanzanz ++−+−= (5.174)

Figura 5.28 Modelul zgomotului aditiv pentru erorile de cuantizare ale unui filtru cu doi

poli

Page 576: Prelucrarea digitala a semnalelor

320

Se presupune că secvenţele e1[n] şi e2[n] sunt necorelate. Răspunsul la impuls al filtrului este [63]

][)1sin(sin

)( nunrnhn

⋅+= θθ

(5.175)

Prin urmare,

∑∞

= −+−+

=0

242

22

2cos211

11][

n rrrrnh

θ (5.176)

Aplicând (5.122) se obţine dispersia erorii de cuantizare la ieşirea filtrului, în forma [47]

−+−

+=

θσσ

2cos211

11

242

222

rrrr

ez (5.177)

Dacă semnalul de intrare x[n] este scalat cu norma l1 ca în (5.151) pentru a evita depăşirea, puterea semnalului de ieşire este

∑∞

=

=0

222 ][n

xy nhσσ (5.178)

unde puterea semnalului de intrare x[n] este dată de dispersia

2

0

2

][3

1

=

∑∞

=n

x

nhσ (5.179)

În concluzie, raportul semnal/zgomot la ieşirea filtrului cu doi poli este

2

0

)1(2

2

2

2

2

][

2

==

∑∞

=

+

n

b

e

x

z

y

nhσσ

σσ

(5.180)

Cu toate că este dificilă evaluarea exactă a numitorului în (5.180), este uşor să determinăm marginile superioară şi inferioară ale acestuia. În particular, h[n] este mărginită superior

0sin

1][ ≥≤ nrnh n

θ (5.181)

astfel încât

Page 577: Prelucrarea digitala a semnalelor

321

θθ sin)1(1

sin1][

00 rrnh

n

n

n −=≤ ∑∑

=

=

(5.182)

Marginea inferioară se poate obţine dacă se observă că

∑∑∞

=

=

− ≤=00

][][)(nn

nj nhenhH ωω (5.183)

Dar,

( )( )Hre e re ej j j j

( )ωθ ω θ ω

=− −− − −

11 1

(5.184)

La ω=θ, care este frecvenţa de rezonanţă a filtrului, se obţine cea mai mare valoare a lui H(ω), deci

θθ

2cos21)1(1)(][2

0 rrrHnh

n −+−=≥∑

=

(5.185)

Prin urmare, raportul semnal/zgomot este mărginit superior şi inferior conform relaţiei

)2cos21()1(2sin)1(2 22)1(22

222)1(2 θ

σ

σθ rrrr b

z

yb −+−≤≤− ++ (5.186)

De exemplu, când θ = π/2, expresia din (5.186) devine

22)1(22

22)1(2 )1()1(2)1(2 rrr b

z

yb +−≤≤− ++

σσ

(5.187)

Termenul dominant în aceste margini este (1- r)2, care poate reduce serios raportul semnal/zgomot odată cu apropierea polilor de cercul unitate. Dacă δ = 1−r este distanţa de la pol la cercul unitate, raportul semnal/zgomot din (5.187) este redus cu δ2. Aceste rezultate servesc la întărirea aserţiuni anterioare, referitoare la necesitatea utilizării mai multor biţi la adunare decât la multiplicare, ca un mecanism de evitare a erorilor rezultate din operaţia de scalare.

Page 578: Prelucrarea digitala a semnalelor

285

CAPITOLUL 5

ESTIMAREA SPECTRULUI DE PUTERE

Analiza spectrală a semnalelor deterministe a fost introdusă ca un mijloc de caracterizare a semnalelor în domeniul frecvenţă. Semnalele periodice sunt analizate în domeniul frecvenţă cu ajutorul seriei Fourier, iar cele aperiodice de energie finită, cu ajutorul transformatei Fourier. În capitolul de faţă se urmăreşte estimarea caracteristicilor spectrale ale semnalelor considerate a fi procese aleatoare, pentru care, datorită fluctuaţiilor aleatoare, nu este posibilă aplicarea directă a analizei Fourier, ci se adoptă o tratare statistică a lor. În particular, funcţia de autocorelaţie a proceselor aleatoare staţionare în sens larg este potrivită pentru caracterizarea lor statistică, iar transformata Fourier a acesteia, care reprezintă densitatea spectrală de putere, face legătura între domeniile timp şi frecvenţă. În capitolul de faţă, problema estimării spectrale constă în determinarea componentelor spectrale ale procesului aleator staţionar în sens larg, pe baza unei mulţimi finite de observaţii asupra procesului.

5.1. Estimarea spectrului semnalelor din observarea pe intervale de lungime finită

Lungimea finită a datelor de analizat reprezintă o limitare

Page 579: Prelucrarea digitala a semnalelor

286

esenţială asupra calităţii estimatului spectrului de putere. Pentru semnale staţionare, cu cât lungimea datelor este mai mare, cu atât va fi mai bun estimatul construit pe baza datelor. Pentru semnale nestaţionare nu se poate selecta o înregistrare de lungime finită pentru estimarea spectrului, lungimea acesteia fiind determinată de parametrii statisticii semnalului. Se urmăreşte selectarea datelor de lungimea cea mai mică posibilă, care să permită obţinerea caracteristicilor spectrale ale semnalului de date. Una din problemele care poate apărea în metodele clasice de estimare a spectrului de putere, pe baza unor date de lungime finită, este distorsionarea spectrului datorită trunchierii datelor. Această problemă apare atât în calculul spectrului semnalelor deterministe, cât şi în estimarea spectrului de putere al semnalelor aleatoare. Deoarece este mai uşor de observat efectul lungimii finite a datelor pentru un semnal determinist, se va analiza întâi acest caz, considerând ulterior semnalele aleatoare şi estimarea spectrului lor de putere. 5.1.1. Calculul densităţii spectrale de energie Se urmăreşte calculul spectrului unui semnal determinist dintr-o secvenţă finită de date. Secvenţa x[n] este, de obicei, rezultatul eşantionării unui semnal continuu xa(t) cu o frecvenţă constantă Fs. Se urmăreşte obţinerea unui estimat al spectrului real dintr-o secvenţă de durată finită x[n]. Dacă xa(t) este un semnal de energie finită, adică

2( )aE x t dt∞

−∞= < ∞∫ , (5.1)

atunci transformata sa Fourier există şi este dată de relaţia

Page 580: Prelucrarea digitala a semnalelor

287

2( ) ( ) j Fta aX F x t e dtπ∞ −

−∞= ∫ (5.2)

Conform teoremei lui Parseval, energia semnalului este

2 2( ) ( )a aE x t dt X F dF∞ ∞

−∞ −∞= =∫ ∫ (5.3)

Cantitatea 2( )aX F reprezintă distribuţia de energie a

semnalului funcţie de frecvenţă şi se numeşte densitate spectrală de energie ( )xxS F , adică se poate scrie:

2( ) ( )xx aS F X F= (5.4)

Pe de altă parte, Sxx(F) este transformata Fourier a funcţiei de autocorelaţie Rxx(τ) a semnalului de energie finită

( ) ( ) ( )xx a aR x t x t dtτ τ∞

−∞= +∫ (5.5)

Într-adevăr,

( )

( ) ( )

2

2

( ) ( ) j Fxx xx xx

j Fa a

S F F R R e d

x t x t e dt d

π τ

π τ

τ τ τ

τ τ

∞ −

−∞

∞ ∞ −

−∞ −∞

= = =

= +

∫∫ ∫

Cu schimbarea de variabilă t pτ+ = , d dpτ = , se obţine

( ) ( )

( ) ( )

2 2

2

( )

( )

j Fp j Ftxx a a

a a a

S F x t x p e e dt dp

X F X F X F

π π∞ ∞ −

−∞ −∞

= =

= =

∫ ∫ (5.6)

În continuare, se calculează densitatea spectrală de energie a semnalului xa(t) din eşantioanele sale, prelevate cu frecvenţa Fs. Pentru a evita eroarea alias, banda semnalului, B, se limitează prin prefiltrare, astfel încât Fs> 2B. Spectrul semnalului eşantionat x[n] este

[ ]( ) j n

nX x n e ωω

∞−

=−∞

= ∑ sau [ ] 2( ) j fn

nX f x n e π

∞−

=−∞

= ∑ (5.7)

care se exprimă în funcţie de spectrul semnalului analogic, în forma [70]

Page 581: Prelucrarea digitala a semnalelor

288

( ) ( )s a sks

FX f X F X F kFF

=−∞

⎛ ⎞= = −⎜ ⎟

⎝ ⎠∑ (5.8)

În absenţa erorii alias, în domeniul fundamental 2

sFF ≤ există

relaţia

( )s as

FX F X FF

⎛ ⎞=⎜ ⎟

⎝ ⎠

2sFF ≤ (5.9)

Densitatea spectrală de energie a semnalului eşantionat este

( )2

22 ( )xx xx s as s

F FS f S X F X FF F

⎛ ⎞ ⎛ ⎞= = =⎜ ⎟ ⎜ ⎟

⎝ ⎠ ⎝ ⎠ (5.10)

Se poate arăta uşor că, dacă funcţia de autocorelaţie a semnalului eşantionat este

[ ] [ ] [ ]xxn

r k x n x n k∞

=−∞

= +∑ (5.11)

atunci, transformată sa Fourier este egală cu densitatea spectrală de energie, ( )xxS f , adică

[ ] 2( ) [ ] j k fxx xx xx

kS f F r k r k e π

∞−

=−∞

= = ∑ . (5.12)

Din cele prezentate anterior rezultă două metode de calcul pentru densitatea spectrală de energie:

1) metoda directă, care implică calculul transformatei Fourier pentru x[n] şi apoi

2

2 2( ) ( ) [ ] j fnxx

nS f X f x n e π

∞−

=−∞

= = ∑ (5.13)

2) metoda indirectă sau corelativă, care necesită doi paşi de calcul:

a) calculul funcţiei de autocorelaţie rxx[k] din x[n], b) transformata Fourier a funcţiei rxx[k], cu relaţia (5.12).

Page 582: Prelucrarea digitala a semnalelor

289

În practică, se poate calcula densitatea spectrală de energie numai pentru secvenţe finite x[n], 0 1n N≤ ≤ − . Limitarea duratei unei secvenţe x[n] la N puncte, echivalează cu multiplicarea lui x[n] cu o fereastră rectangulară, astfel încât

[ ] [ ] [ ] [ ], 0 10, în restR

x n n Nx n x n w n

⎧ ≤ ≤ −⎪= = ⎨⎪⎩

(5.14)

Această multiplicare echivalează cu convoluţia spectrelor [26], adică

1

21

2( ) ( )* ( ) ( ) ( )R RX f X f W f X W f dα α α

−= = −∫ (5.15)

Spectrul funcţiei ( )X f aproximează mai fidel spectrul X(f), dacă spectrul WR(f) este “îngust “ în comparaţie cu X(f), fapt ce implică wR[n] de lungime suficient de mare [71]. Chiar dacă WR(f) este ”îngust” faţă de X(f), convoluţia dintre X(f) şi lobii laterali ai lui WR(f) are ca rezultat lobi laterali în ( )X f în benzi de frecvenţă în care spectrul semnalului x[n] este nul. Această energie din lobii laterali se numeşte reziduală sau scurgere spectrală (leakage). Pentru a ilustra problema scurgerii spectrale, se consideră următorul exemplu. Exemplul 5.1.

Se consideră un semnal cu spectrul 1, | | 0,1

( )0, în rest

fX f

≤⎧= ⎨⎩

.

Să se efectueze convoluţia dintre semnalul ( )X f şi spectrul ferestrei rectangulare, cu lungimea N=61. Soluţie Spectrul ( )RW f al ferectrei rectangulare cu lungimea N=61 este prezentat în figura 5.1. Se observă că lăţimea lobului principal al funcţiei fereastră este 4 / 61ω πΔ = sau 2 / 61fΔ = , care este

Page 583: Prelucrarea digitala a semnalelor

290

îngust comparativ cu ( )X f . Convoluţia dintre ( )X f şi ( )RW f este ilustrată în figura 5.2. Se observă că energia s-a “scurs” în domeniul de frecvenţă 0,1 | | 0,5f< ≤ , unde ( ) 0X f = . Acest lucru este determinat de lăţimea lobului principal al lui ( )RW f , care cauzează o lăţire a lui ( )X f în afara domeniului | | 0,1f ≤ . Energia

din lobii laterali ai lui ( )X f se datorează prezenţei lobilor laterali în ( )RW f cu care se efectuează convoluţia lui ( )X f .

Fig. 5.1. Spectrul ferestrei rectangulare de lungime M=61

Fig.5.2. Spectrul obţinut din convoluţia ferestrei rectangulare de lungime M=61

cu spectrul filtrului ideal din exemplul 5.1.

Ca şi în cazul proiectării filtrelor FIR prin metoda ferestrelor, scurgerea spectrală din cauza lobilor laterali poate fi redusă prin

Page 584: Prelucrarea digitala a semnalelor

291

selectarea ferestrelor cu lobi laterali reduşi, fapt care determină o creştere a netezirii sau lăţirii caracteristicilor spectrale ale lui X(f) [71].

Fig. 5.3. Spectrul ferestrei Blackman de lungime M=61

Fig.5.4. Spectrul obţinut din convoluţia ferestrei Blackman de lungime M=61 cu

spectrul filtrului ideal din exemplul 5.1.

De exemplu, folosirea unei ferestre Blackman de aceeaşi lungime N=61, al cărui spectru este reprezentat în figura 5.3, pentru acelaşi semnal din exemplul 5.1, are ca rezultat caracteristica spectrală 1( )X f din figura 5.4. Se observă că scurgerea spectrală s-a redus, dar lăţimea lobulu principal a crescut cu aproximativ 50%.

Page 585: Prelucrarea digitala a semnalelor

292

Lăţirea spectrului ce urmează a fi estimat, ca urmare a trunchierii, reprezintă o problemă în cazul în care separaţia de frecvenţă între componentele unui semnal este mică, cum este cazul semnalului 1 2( ) ( ) ( )X f X f X f= + reprezentat în figura 5.5.

Fig.5.5. Spectrul unui semnal cu două componente de

bandă îngustă, apropiate

În cazul acestui semnal, pot apărea două probleme: 1- dacă lungimea datelor şi, implicit, a ferestrei, scade, cei doi lobi spectrali principali rezultaţi în urma convoluţiei spectrului ferestrei cu X(f) cresc în lăţime, 2- dacă separaţia de frecvenţă fΔ devine foarte mică, este posibil ca cei doi lobi principali ai spectrului să se unească. În aceste cazuri există o limită la care cei doi lobi sunt încă distincţi. Această limită se numeşte rezoluţie. De obicei, rezoluţia se defineşte ca fiind lăţimea de bandă a lobului principal măsurată la jumătate din nivelul puterii maxime, adică banda corespunzătoare la -6dB a spectrului de putere sau, echivalent, lăţimea lobului principal al spectrului de amplitudine la -3dB. În concluzie, componentele semnalului ( )X f nu pot fi identificate din semnalul

( ) ( ) ( )X f X f W f= ∗ , dacă lăţimea lobului principal al ferestrei nu este semnificativ mai mică decât separaţia de frecvenţă fΔ dintre

1( )X f şi 2 ( )X f .

Page 586: Prelucrarea digitala a semnalelor

293

Din cele prezentate anterior se observă ca densitatea spectrală de energie a secvenţei multiplicate cu o fereastră este o aproximare a spectrului real al secvenţei, adică

212 2

0( ) ( ) [ ]

Nj fn

xxn

S f X f x n e π−

=

= = ∑ (5.16)

Spectrul ( )xxS f poate fi calculat cu ajutorul DFT în N puncte [70]: 1

2 /

0[ ] [ ]

Nj kn N

nX k x n e π

−−

=

= ∑ (5.17)

2

[ ] ( )xx xxkf N

kX k S f SN=

⎛ ⎞= = ⎜ ⎟⎝ ⎠

(5.18)

212 /

0[ ]

Nj nk N

xxn

kS x n eN

π−

=

⎛ ⎞ =⎜ ⎟⎝ ⎠

∑ (5.19)

care este o versiune distorsionată a spectrului real Sxx(k/N).

5.1.2. Estimarea funcţiei de autocorelaţie şi a densităţii spectrale de putere a semnalelor aleatoare. Periodograma

Semnalelor de energie finită considerate în paragraful

precedent, li se poate aplica transformata Fourier, fiind caracterizate în domeniul frecvenţă de densitate spectrală de energie. Spre deosebire de acestea, semnalele caracterizate de procese aleatoare staţionare nu au energie finită şi, deci, nu li se poate aplica transformata Fourier. Astfel de semnale au, în general, putere medie finită, motiv pentru care acestea vor fi caracterizate de densitatea spectrală de putere.

Dacă x(t) este un proces aleator, staţionar în sens larg, funcţia sa de autocorelaţie este

( ) [ ( ) ( )]xx i iB E x t x tτ τ= + (5.20) unde E[• ] reprezintă media statistică.

Page 587: Prelucrarea digitala a semnalelor

294

Pentru simplificarea scrierii, uneori se renunţă la indicele i, adică se va scrie ( ) ( )iE x t E x t= . Din acest motiv, prin abuz de

limbaj, se spune valoarea medie statistică a procesului aleator ( )x t şi nu valoarea medie statistică a variabilei aleatoare ( )ix t obţinută din procesul aleator ( )x t . Conform teoremei Wiener-Khintcine, densitatea spectrală de putere a unui proces aleator staţionar este transformata Fourier a funcţiei de autocorelaţie, adică [48]:

2( ) ( ) j Fxx xxS F B e dπ ττ τ

∞ −

−∞= ∫ (5.21)

În practică nu se dispune de toate realizările particulare ale procesului aleator din care să poate fi determinată funcţia de autocorelaţie ( )xxB τ , motiv pentru care se urmăreşte estimarea funcţiei de autocorelaţie a procesului pe baza unei singure realizări a acestuia. Pentru ca acest lucru să fie posibil, este necesar ca procesul aleator să fie ergodic. Pe baza unei singure realizări particulare se poate calcula funcţia de autocorelaţie temporală

0

00

1( ) ( ) ( )2

T

xx TR x t x t dt

Tτ τ

−= +∫ , (5.22)

unde 2To este intervalul de observare a realizării particulare a procesului aleator. Dacă procesul staţionar este ergodic în medie şi corelaţie, atunci

0

00 00

1( ) lim ( ) lim ( ) ( )2

T

xx xx TT TB R x t x t dt

Tτ τ τ

−→∞ →∞= = +∫ (5.23)

Aceasta relaţie justifică folosirea funcţiei de autocorelaţie temporale Rxx(τ) ca un estimat al funcţiei de autocorelaţie statistice Bxx(τ).

Mai mult, transformata Fourier a lui Rxx(τ) furnizează un estimat Pxx(F) al spectrului densităţii de putere, adică

Page 588: Prelucrarea digitala a semnalelor

295

0

0

0 0

0 0

2

2

0

( ) ( )

1 ( ) ( )2

T j Fxx xxT

T T j F

T T

P F R e d

x t x t dt e dT

π τ

π τ

τ τ

τ τ

− −

= =

⎡ ⎤= +⎣ ⎦

∫ ∫ (5.24)

Dacă se consideră toate realizările particulare ale procesului, densitatea spectrală de putere se poate determina cu relaţia

[ ] 0

00 0

22

0

1( ) lim ( ) lim ( )2

T j Ftxx xx TT T

S F E P F E x t e dtT

π−

−→∞ →∞

⎧ ⎫= = ⎨ ⎬

⎩ ⎭∫ (5.25)

Pxx(F) se poate calcula în două moduri: prin metoda directă, ca în relaţia (5.25) şi prin metoda indirectă, în care se calculează întâi Rxx(τ) şi apoi transformata sa Fourier.

Se va analiza în continuare estimarea densităţii spectrale de putere din eşantioanele unei singure realizări a procesului aleator. Se presupune că realizarea particulară xa(t) este eşantionată cu o frecvenţă Fs>2B, unde B este cea mai mare frecvenţă din spectrul densităţii de putere, rezultând o secvenţă de durată finită x[n]; 0 1n N≤ ≤ − . Din aceste eşantioane se poate calcula estimatul funcţiei de autocorelaţie, ' [ ]xxr m , cu relaţia

1'

0

1'

| |

1[ ] [ ] [ ], 0,1,..., 1

1[ ] [ ] [ ], 1, 2,..., 1| |

N m

xxn

N

xxn m

r m x n x n m m NN m

r m x n x n m m NN m

− −

=

=

⎧ = + = −⎪ −⎪⎨⎪ = + = − − − +⎪ −⎩

∑ (5.26)

şi apoi transformata sa Fourier

1

' ' 2

1( ) [ ]

Nj fm

xx xxm N

P f r m e π−

=− +

= ∑ (5.27)

Factorul de normalizare N m− din (5.26) se impune pentru

ca valoarea medie statistică a estimatului să fie egală cu funcţia de

Page 589: Prelucrarea digitala a semnalelor

296

autocorelaţie statistică. Într-adevăr, considerând mulţimea realizărilor particulare trunchiate ale procesului, se poate scrie

1

0'1

| |

1 [ [ ] [ ]] [ ], 0,1,..., 1[ [ ]]

1 [ [ ] [ ]] [ ], 1, 2,..., 1

N m

xxn

xx N

xxn m

E x n x n m m m NN m

E r mE x n x n m m m N

N m

γ

γ

− −

=

=

⎧+ = = −⎪ −⎪= ⎨

⎪ + = = − − − +⎪ −⎩

∑ (5.28)

unde γxx[m] este funcţia de autocorelaţie statistică a lui x[n]. Deoarece valoarea medie a estimatului funcţiei de

autocorelaţie este egală cu funcţia de autocorelaţie statistică, estimatul r’

xx[m] se spune că este nedeplasat. Dispersia acestuia se calculează după cum urmează:

( )2' '2 'var[ [ ]] [ ] [ ]xx xx xxr m E r m E r m⎡ ⎤ ⎡ ⎤= −⎣ ⎦ ⎣ ⎦ (5.29)

Pentru calculul acestei mărimi se foloseşte relaţia [61] 1 2 3 4 1 2 3 4 1 3 2 4

1 4 2 3

( ) ( ) ( ) ( ) ( )( ) ( )

E x x x x E x x E x x E x x E x xE x x E x x

= + ++

(5.30)

unde 1 2 3 4, , , ,x x x x sunt variabile aleatoare gaussiene, de medie zero, dependente. Cu (5.30) şi (5.26), relaţia (5.29) devine pentru 0m ≥ :

1 1'

20 0

1var( [ ]) [ ] [ ] [ ] [ ]( )

N m N m

xxn k

r m E x n x n m x k x k mN m

− − − −

= =

⎛ ⎞= + + −⎜ ⎟− ⎝ ⎠∑ ∑

)

1 12

20 0

1 12 2 2

20 0

1[ ] ( [ ] [ ]) ( [ ] [ ])( )

( [ ] [ ]) ( [ ] [ ]) ( [ ] [ ]) ( [ ] [ ])

1[ ] [ ] [ ]( )

N m N m

xxn k

N m N m

xx xx xxn k

m E x n x n m E x k x k mN m

E x n x k E x n m x k m E x n x k m E x n m x k

m m n kN m

γ

γ γ γ

− − − −

= =

− − − −

= =

⎛− = + + +⎜− ⎝+ + + + + −

⎛− = + − +⎜− ⎝

∑ ∑

∑ ∑

) 2[ ] [ ] [ ]xx xx xxn k m n k m mγ γ γ− − − + − =

Page 590: Prelucrarea digitala a semnalelor

297

1 12

20 0

1 [ ] [ ] [ ]( )

N m N m

xx xx xxn k

n k n k m n k mN m

γ γ γ− − − −

= =

⎛ ⎞= − + − − − +⎜ ⎟− ⎝ ⎠∑ ∑

Cu schimbarea de variabilă n-k=p, relaţia devine 1 1

' 22

0

1var( [ ]) [ ] [ ] [ ]( )

N m N m k

xx xx xx xxk p k

r m p p m p mN m

γ γ γ− − − − −

= =−

⎛ ⎞= + − + =⎜ ⎟− ⎝ ⎠

∑ ∑

1 22 2

0 1[ ] [ ] [ ] [ ] [ ] [ ]

N m N m

xx xx xx xx xx xxp p

p p m p m p p m p mγ γ γ γ γ γ− − − −

= =−

+ − + + + − +∑ ∑0

2

1... [ ] [ ] [ ]xx xx xx

p N mp p m p mγ γ γ

=− + +

+ + + − + =∑

(

()

2 2 22

2 2 2 2

1 [0] [1] ... [ 1] [ ] [ ]( )

[1 ] [1 ] ... [ 1 ] [ 1 ]

[ 1] [ ] ... [ 1] [0]

[ 1 ] [ 1 ] ... [ ] [ ]

xx xx xx xx xx

xx xx xx xx

xx xx xx xx

xx xx xx xx

N m m mN m

m m N m m N m m

N m N m

N m m N m m m m

γ γ γ γ γ

γ γ γ γ

γ γ γ γ

γ γ γ γ

= + + + − − + − +−

+ − + + + − − − − − + +

+ − + + + − + + + − + +

− + + − − + + + + + − =

( 22

2 2

1 ( )( [0] [ ] [ ]) ( 1)( )

( [1] [1 ] [1 ]) ( 2)( [2] [2 ] [2 ])

xx xx xx

xx xx xx xx xx xx

N m m m N mN m

m m N m m m

γ γ γ

γ γ γ γ γ γ

= − + − + − − ⋅−

+ − + + − − + − +

)

( )

2

2

2

22

... ( ( 1))( [ 1] [ 1 ][ 1 ]) ... ( 1)( [ 1] [ 1 ] [ 1 ])

... ( ( 1))( [ 1] [ 1 ][ 1 ]

1 ( ) [ ] [ ] [ ]( )

xx xx

xx xx xx xx

xx xx

xx

xx xx xxn

N m N m N m N m mN m m N m m m

N m N m N m N m mN m m

N m n n n m n mN m

γ γ

γ γ γ γ

γ γγ

γ γ γ=−

+ + − − − − − − + − − − ⋅

− − + + + − − − + − − − + +

+ + − − − − − + + + − + + − ⋅

− + + + =

− − + − +−

( )

1

1

12

21

1 [ ] [ ] [ ]( )

N m

N m

N m

xx xx xxn N m

N m n n n m n mN m N

γ γ γ

− −

+ +

− −

=− + +

=

+⎛ ⎞− + − +⎜ ⎟− ⎝ ⎠

∑(5.31)

Page 591: Prelucrarea digitala a semnalelor

298

Efectuând un calcul similar, pentru 0m < , se obţine:

( )

'

12

21

var( [ ])

1 [ ] [ ] [ ]( | |)

xx

N m

xx xx xxn N m

r mN m n n n m n m

N m Nγ γ γ

+ −

=− − +

=

− +⎛ ⎞= − + − +⎜ ⎟− ⎝ ⎠∑

(5.31’)

Relaţiile (5.31) şi (5.31’) pot fi combinate în una singură, şi anume

( )

'

| | 12

2| | 1

var( [ ])| |1 [ ] [ ] [ ]

( | |)

xx

N m

xx xx xxn N m

r mN m n n n m n m

N m Nγ γ γ

− −

=− + +

=

+⎛ ⎞= − + − +⎜ ⎟− ⎝ ⎠∑

(5.31”)

Deoarece | |lim 1 1N

m nN→∞

+⎛ ⎞− =⎜ ⎟⎝ ⎠

şi dacă 2 [ ]xxn

nγ∞

=−∞∑ < ∞ , atunci

( )( )

'

| | 12

2| | 1

lim var [ ]

| |lim 1 [ ] [ ] [ ] 0| |

xxN

N m

xx xx xxN n N m

r m

N m n n n m n mNN m

γ γ γ

→∞

− −

→∞=− + +

=

⎡ ⎤+⎛ ⎞− + − + =⎢ ⎥⎜ ⎟⎝ ⎠−⎢ ⎥⎣ ⎦

(5.32)

Deoarece '[ [ ]] [ ]xx xxE r m mγ= şi dispersia estimatului converge la 0 pentru N →∞ , estimatul r’

xx[m] se numeşte consistent. În general, dacă N este finit, pentru valori mari ale parametrului m, estimatul r’

xx[m] dat de (5.26) are o dispersie mare.

Dacă estimatul se calculează cu relaţia 1

0

1

1 [ ] [ ], 0 1[ ]

1 [ ] [ ], 1 0

N m

nxx N

n m

x n x n m m NN

r mx n x n m N m

N

− −

=

=

⎧ + ≤ ≤ −⎪⎪= ⎨⎪ + − + ≤ <⎪⎩

∑ (5.33)

atunci, valoarea medie statistică a acestuia calculată pe mulţimea realizărilor particulare rezultă

Page 592: Prelucrarea digitala a semnalelor

299

1

0

1

| |

1 [ [ ] [ ]] [ ], 0 1[ [ ]]

1 | |[ [ ] [ ]] [ ], 1 0

N m

xxn

xx N

xxn m

N mE x n x n m m m NN NE r m

N mE x n x n m m N mN N

γ

γ

− −

=

=

−⎧ + = ≤ ≤ −⎪⎪= ⎨ −⎪ + = − + ≤ <⎪⎩

∑ (5.34)

sau, într-o singură relaţie | |[ [ ]] [ ]xx xx

N mE r m mN

γ−= (5.34’)

Valoarea medie statistică a estimatului prezintă o deplasare

de [ ]xx

mm

Nγ .

Estimatul rxx[m] se spune că este asimptotic nedeplasat, deoarece lim [ [ ]] [ ]xx xxN

E r m mγ→∞

= (5.35)

Dispersia acestui estimat este dată de relaţia

( )| | 1

2

| | 1

var( [ ])1 | |1 [ ] [ ] [ ]

xx

N m

xx xx xxn N m

r mm n n n m n m

N Nγ γ γ

− −

=− + +

=

+⎛ ⎞= − + − +⎜ ⎟⎝ ⎠

∑ (5.36)

Deoarece | |lim 1 1N

m nN→∞

+⎛ ⎞− =⎜ ⎟⎝ ⎠

şi dacă 2 [ ]xxn

nγ∞

=−∞∑ < ∞ , atunci

lim var [ ] 0xxNr m

→∞= .

Deoarece estimatul [ ]xxr m este asimptotic nedeplasat şi dispersia sa converge la 0 pentru N →∞ , se spune că acesta este un estimat consistent pentru γxx[m]. În estimarea spectrului de putere se va folosi estimatul rxx[m] dat de (5.33). Estimatul corespunzător al densităţii spectrale de putere este

1

2

( 1)( ) [ ]

Nj fm

xx xxm N

P f r m e π−

=− −

= ∑ (5.37)

Page 593: Prelucrarea digitala a semnalelor

300

Înlocuind (5.33) în (5.37), se obţine 1 1 1

2 2 2

( 1) ( 1) 0

1 12 2

1 0

( ) [ ] [ ] [ ]

[ ] [ ]

N Nj fm j fm j fm

xx xx xx xxm N m N m

N Nj fm j fm

xx xxm m

P f r m e r m e r m e

r m e r m e

π π π

π π

− − −− − −

=− − =− − =

− −−

= =

= = + =

+ =

∑ ∑ ∑

∑ ∑

( )

1 1 1 12 2

1 0 0 0

1 1 12 2

0 0 0

1 [ ] [ ] [ ] [ ]

1 [ ] [ ] [ ] [ ] [ ] [ ]

N N m N N mj fm j fm

m n m n

N N m Nj fm j fm

m n n

x n x n m e x n x n m eN

x n x n m e x n x n m e x n x nN

π π

π π

− − − − − −−

= = = =

− − − −−

= = =

⎡ ⎤+ + + =⎢ ⎥⎣ ⎦⎡ ⎤+ + + − =⎢ ⎥⎣ ⎦

∑ ∑ ∑ ∑

∑ ∑ ∑

( ) ( )1 2

2 1 2 1

0 0

1 [ ] [ ] [ ] [ ] [ ] [ 1] [ ] [ 1]N N

j f j f

n nx n x n x n x n x n x n e x n x n e

Nπ π

− −−

= =

⎡ + + + + + +⎢⎣∑ ∑

( )

[

02 ( 1) 2 ( 1)

0

12 1

0

2 1 2 2 2 ( 2) 2 ( 1)

... [ ] [ 1] [ ] [ 1]

1[ ] [ ] [0] [0] [1] [1] ... [ 1] [ 1] [0] [1]

[1] [2] ... [ 2] [ 1]

j f N j f N

n

Nj f

n

j f j f j f N j f N

x n x n N e x n x n N e

x n x n x x x x x N x N x x eN

x e x e x N e x N e

π π

π

π π π π

− − −

=

=

− − − −

+ + + − + + − −

⎤− = + + + − − +⎥⎦+ + + − − +

2 1 2 2 2 1 2 ( 1) 2 ( 2)

2 2 2 ( 3) 2 ( 1)

2 2 2 ( 1) 2 ( 3)

[1] [0] [2] [1] ... [ 1] [ 2]... [0] [2] ... [ 3] [ 1][2] [0] ... [ 1] [ 3] ...

[0] [ 1]

j f j f j f j f N j f N

j f j f N j f N

j f j f N j f N

x e x x e x e x N e x N ex x e x N e x N e

x e x x N e x N e

x x N e

π π π π π

π π π

π π π

− − − − −

− − −

− − − −

+ + − −

+ + + + − − +

+ + − − +

− 2 ( 1) 2 ( 1)[ 1] [0]j f N j f Nx N e xπ π− − − ⎤+ − =⎦

( )( )

2 1 2 ( 1)

2 1 2 1 2 ( 1)

1 [0] [0] [1] ... [ 1]

[1] [0] [1] ... [ 1] ...

j f j f N

j f j f j f N

x x x e x N eN

x e x x e x N e

π π

π π π

− −

⎡ + + + − +⎣

+ + + + − + +

( )2 ( 1) 2 1 2 ( 1)[ 1] [0] [1] ... [ 1]j f N j f j f Nx N e x x e x N eπ π π− − − ⎤− + + + − =⎦

21 1 1

2 2 2

0 0 0

1 1[ ] [ ] [ ]N N N

j fn j fk j fn

n k nx n e x k e x n e

N Nπ π π

− − −− −

= = =

⎡ ⎤ =⎢ ⎥⎣ ⎦∑ ∑ ∑

adică

Page 594: Prelucrarea digitala a semnalelor

301

21( ) ( ) ,xxP f X fN

= (5.38)

Această formă a estimatului se numeşte periodogramă. Din (5.37) se calculează valoarea medie a estimatului Pxx(f)

1 1

2 2

( 1) ( 1)[ ( )] [ ] [ [ ]]

N Nj fm j fm

xx xx xxm N m N

E P f E r m e E r m eπ π− −

− −

=− − =− −

⎡ ⎤= = =⎢ ⎥

⎣ ⎦∑ ∑

12

( 1)1 [ ]

Nj fm

xxm N

mm e

Nπγ

−−

=− −

⎛ ⎞= −⎜ ⎟

⎝ ⎠∑ (5.39)

Interpretarea acestei relaţii este că media spectrului estimat este transformata Fourier a funcţiei de autocorelaţie înmulţită cu o fereastră, adică

[ ] 1 [ ]xx xx

mm m

Nγ γ

⎛ ⎞= −⎜ ⎟⎝ ⎠

(5.40)

unde funcţia fereastră este fereastra triunghiulară Bartlett [71]. Media spectrului estimat este

12 2

12

[ ( )] [ ] ( ) ( )j fmxx xx B

mE P f m e W f dπγ α α α

∞−

−=−∞

= = Γ −∑ ∫ (5.41)

unde WB(f) este transformata Fourier a ferestrei Bartlett, iar ( )xx fΓ este densitatea spectrală de putere ce se doreşte a fi estimată. Relaţia (5.41) arată că media spectrului estimat este convoluţia dintre densitatea spectrală de putere Γxx(f) şi transformata Fourier a ferestrei Bartlett. Această medie este o versiune netezită a spectrului real şi suferă de aceleaşi inconveniente de scurgere spectrală, cauzate de lungimea finită a secvenţei de date. Spectrul estimat este asimptotic nedeplasat, deoarece

12

( 1)lim [ ( )] lim [ ]

Nj fm

xx xxN N m NE P f E r m e π

−−

→∞ →∞ =− −

⎡ ⎤= =⎢ ⎥

⎣ ⎦∑ (5.42)

Page 595: Prelucrarea digitala a semnalelor

302

2[ ] ( )j fmxx xx

mm e fπγ

∞−

=−∞

= = Γ∑

Calculul dispersiei periodogramei este, în general, relativ complicat şi, tot în general, aceasta nu tinde la zero pentru N →∞ . Când datele reprezintă un proces aleator gausian, dispersia se calulează după cum urmează: Fie [ ]x n zgomot alb, gausian, cu media nulă şi dispersia 2

xσ . Folosind expresia momentului reunit de ordinul patru pentru variabile aleatoare gausiene dată de relaţia (5.30), se poate scrie

1 2 1 1 2 22

1 1 2 22

1 2 1 2

1 2 1 2

1[ ( ) ( )] [ ( ) ( ) ( ) ( )]

1 [ ( ) ( )] [ ( ) ( )]

[ ( ) ( )] [ ( ) ( )][ ( ) ( )] [ ( ) ( )]

xx xxE P f P f E X f X f X f X fN

E X f X f E X f X fNE X f X f E X f X fE X f X f E X f X f

= − − =

− − +

− − +

− −

(5.43)

1 21 1

2 21 2

0 0[ ( ) ( )] [ ] [ ]

N Nj f n j f k

n kE X f X f E x n e x k eπ π

− −− −

= =

⎛ ⎞= =⎜ ⎟⎝ ⎠∑ ∑

1 2 1 21 1 1 1

2 2 2 2 ( )

0 0 0[ ] [ ] [ ]

N N N n Nn k vj f n j f k j f n j f n v

xxn k n v n

x n x k e e v e eπ π π πγ− − − − ++ =

− − − − −

= = = =

= =∑∑ ∑ ∑

1 22 1 2

1 2

2 ( )1 12 2 ( )

2 2 ( )( 1) 0

1[ ] ( )1

j f f NN Nj f v j f f n

xx xx j f fv N n

ev e e fe

ππ π

πγ− +− −

− +− +

=− − =

−= Γ =

−∑ ∑

1 2

1 2

( )( 1) 1 22

1 2

( )( 1)2 1 2

1 2

sin ( )( )sin ( )

sin ( )sin ( )

j f f Nxx

j f f Nx

f f Nf ef f

f f Nef f

π

π

ππ

πσπ

− + −

− + −

+= Γ =

++

=+

(5.44a)

Similar, se calculează expresiile 2

1 1[ ( ) ( )] xE X f X f Nσ− = (5.44b) 2

2 2[ ( ) ( )] xE X f X f Nσ− = (5.44c)

Page 596: Prelucrarea digitala a semnalelor

303

1 2( )( 1)2 1 21 2

1 2

sin ( )[ ( ) ( )]sin ( )

j f f Nx

f f NE X f X f ef f

π πσπ

+ − +− − =

+ (5.44d)

1 2( )( 1)2 1 21 2

1 2

sin ( )[ ( ) ( )]sin ( )

j f f Nx

f f NE X f X f ef f

π πσπ

− − − −− =

− (5.44e)

1 2( )( 1)2 1 21 2

1 2

sin ( )[ ( ) ( )]sin ( )

j f f Nx

f f NE X f X f ef f

π πσπ

− − −− =

− (5.44f)

Înlocuind relaţiile (5.44a,b,c,d,e,f) în (5.43), se obţine relaţia 2 2

4 1 2 1 21 2

1 2 1 2

sin ( ) sin ( )[ ( ) ( )] 1sin ( ) sin ( )xx xx x

f f N f f NE P f P fN f f N f f

π πσπ π

⎧ ⎫⎡ ⎤ ⎡ ⎤+ −⎪ ⎪= + +⎨ ⎬⎢ ⎥ ⎢ ⎥+ −⎣ ⎦ ⎣ ⎦⎪ ⎪⎩ ⎭(5.45)

Particularizând (5.45) pentru 1 2f f f= = , în cazul unui proces alb, gausian, de medie nulă, rezultă

( ) ( )22

2

2

var[ ( )] ( ) ( ( )

sin 2( ) 1sin 2

xx xx xx

xx

P f E P f E P f

fNfN f

ππ

= − =

⎧ ⎫⎡ ⎤⎪ ⎪= Γ +⎨ ⎬⎢ ⎥⎣ ⎦⎪ ⎪⎩ ⎭

(5.46)

care, pentru N →∞ devine 2lim var[ ( )] ( )xx xxN

P f f→∞

= Γ (5.47)

În concluzie, spre deosebire de funcţia de autocorelaţie estimată, periodograma nu este un estimat consistent al densităţii spectrale de putere. Pxx(f) este un estimat asimptotic nedeplasat pentru Γxx(f), dar, pentru o secvenţă de durată finită, valoarea sa medie este deplasată. Spectrul estimat suferă de efecte de netezire şi scurgere spectrală, cauzate de înmulţirea cu ferestra Bartlett. 5.1.2.1. Periodograma modificată În cazul periodogramei, un proces aleator [ ]x n de lungime finită este echivalent cu porţiunea din proces căreia i s-a aplicat

Page 597: Prelucrarea digitala a semnalelor

304

fereastra rectangulară. Pe lângă fereastra rectangulară, se pot folosi şi alte ferestre, ca Bartlett, Hamming, Hanning, Blackman, Kaiser. Periodograma modificată este periodograma aplicată procesului aleator trunchiat cu o fereastră oarecare [ ]w n şi este dată de

2

mod 21( ) [ ] [ ] j fnxx

nP f x n w n e

NUπ

∞−

=−∞

= ∑ (5.48)

unde N este lungimea ferestrei şi 1

2

0

1 | [ ] |N

nU w n

N

=

= ∑ (5.49)

este o constantă aleasă astfel încât mod ( )xxP f să fie asimptotic nedeplasată. Cele mai folosite ferestre şi caracterizările lor sunt prezentate în Tabelul 5.1. Acest tabel arată performanţele ferestrelor uzuale, cum ar fi nivelul lobilor secundari şi rezoluţia. Se observă că fereastra rectangulară are cea mai bună rezoluţie (cel mai îngust lob principal), astfel încât creează cea mai redusă netezire spectrală, dar prezintă cei mai mari lobi secundari, care pot masca spectre ale semnalelor mai slabe. Fereastra Hamming are cel mai întins lob principal, dar lobul lateral este mai redus. Tabelul 5.1

Tipul ferestrei

Definiţia ferestrei cauzale w[n] 0 ≤ n ≤N-1;

Lăţimea lobului

principal

Atenuarea primului

lob secundar

[dB]

Rezolu-ţia 3( ) dBfΔ

Rectangulară 1 4Nπ

-13 0,89

N

Triunghiulară 121 21 N

N n −−− −

81N

π−

-25 1,28

N

Page 598: Prelucrarea digitala a semnalelor

305

Hanning 210,5 0,5cos n

Nπ−−

81N

π−

-31 1,44

N

Hamming 2

10,54 0,46cos nNπ−−

81N

π−

-41 1,30

N

Blackman

21

41

0,42 0,5cos0,08cos

nN

nN

π

π

− ++

121Nπ−

-58 1,68

N

Caracterizarea estimatului Urmând o procedură similară celei folosite la analiza performanţelor periodogramei, se pot obţine performanţele periodogramei modificate, adică valoarea medie, dispersia şi rezoluţia. Valoarea medie este dată de relaţia

2mod 1( ) ( ) ( )xx xxE P f f W fN

= Γ ∗ (5.50)

Unde ( )W f este transformata Fourier a ferestrei folosite. Urmând un mers de calcul similar celui folosit la periodograma simplă, în cazul variabilei aleatoare gaussiene, varianţa estimatului este [62]

mod 2var[ ( )] ( )xx xxP f f≈ Γ (5.51) Rezoluţia periodogramei modificate este egală cu lăţimea de bandă la -3dB a lobului principal al ferestrei. Se observă că periodograma modificată este un estimat asimptotic nedeplasat, dar neconsistent al spectrului de putere ( )xx fΓ . Problemele care apar din cauza scurgerii spectrale şi a rezoluţiei de frecvenţă, ca şi faptul că periodograma nu este un estimat consistent, au reprezentat un motiv pentru dezvoltarea altor metode de estimare a densităţii spectrale de putere, ce vor fi prezentate în paragraful 5.3.

Page 599: Prelucrarea digitala a semnalelor

306

5.1.3. Folosirea Transformatei Fourier Discrete în estimarea spectrului de putere

După cum se observă din (5.16) şi (5.38), densitatea spectrală de energie estimată, ( )xxS f , şi periodograma Pxx(f) pot fi calculate cu ajutorul Transformatei Fourier Discrete (DFT) care, la rândul său, se poate calcula cu algoritmii FFT [53]. Dacă lungimea datelor este N, DFT se poate calcula în cel puţin N puncte. În acest caz, rezultă următoarele eşantioanele ale periodogramei

212

0

1 [ ]N kj n N

xxn

kP x n eN N

π−

=

⎛ ⎞ =⎜ ⎟⎝ ⎠

∑ k = 0, 1, …., N – 1 (5.53)

la frecvenţele f k =k/N. În practică, este posibil ca o astfel de eşantionare a spectrului

să fie “rară “ şi să nu ofere o bună reprezentare grafică a estimatului spectrului continuu, lucru ce poate fi remediat prin evaluarea lui Pxx(f) la unele frecvenţe adiţionale, prin creşterea lungimii secvenţei prin adăugarea de zerouri până la o lungime a secvenţei de L>N puncte.

212

0

1 [ ]N kj n L

xxn

kP x n eL N

π−

=

⎛ ⎞ =⎜ ⎟⎝ ⎠

∑ , k = 0, 1, . . ., L – 1. (5.54)

Adăugarea de zerouri şi evaluarea DFT în L>N puncte nu îmbunătăţeşte rezoluţia de frecvenţă a estimatului, ci oferă numai o metodă de interpolare a valorilor spectrului calculat la mai multe frecvenţe. Rezoluţia de frecvenţă este determinată de lungimea N a datelor înregistrate.

Exemplul 5.2. Secvenţa discretă de lungime N=16 eşantioane

[ ] sin 2 (0,135) cos 2 (0,135 ) , 0,1,...,15x n n f n nπ π= + + Δ =

Page 600: Prelucrarea digitala a semnalelor

307

se obţine prin eşantionarea unui semnal analogic compus din două componente. fΔ reprezintă separaţia de frecvenţă între aceste

componente. Să se evalueze spectrul de putere 21( ) ( )P f X fN

= , la

frecvenţele k

kfN

= , 0,1,..., 1k L= − , pentru 8,16,32 128şiL = ,

pentru valorile 0,06 0,01şif fΔ = Δ = . Soluţie

Prin completarea cu zerouri s-a mărit lungimea datelor pentru

care se calculează spectrul de putere xx

kPL

⎛ ⎞⎜ ⎟⎝ ⎠

.

Rezultatele pentru 0,06fΔ = sunt prezentate în figurile 5.6a, b, c, d pentru L=8, 16, 32 şi, respectiv, 128 de puncte.

Fig. 5.6. Spectrul unui semnal cu două componente sinusoidale cu separaţia de frecvenţă 0,06fΔ =

Page 601: Prelucrarea digitala a semnalelor

308

Se observă că adăugarea de zerouri nu a modificat rezoluţia,

dar are efect de interpolare a spectrului xx

kPL

⎛ ⎞⎜ ⎟⎝ ⎠

. În acest caz,

separaţia de frecvenţă este suficient de mare, încât cele două componente spectrale pot fi identificate în semnal.

Estimaţii spectrali pentru 0,01fΔ = sunt prezentaţi în figura 5.7a, b, c, d pentru L=8, 16, 32 şi, respectiv, 128 de puncte. În acest caz cele două componente spectrale nu mai pot fi identificate. Efectul adăugării de zerouri constă în interpolarea valorilor spectrului, astfel încât se obţine o imagine grafică mai bună a estimatului spectrului, fără, însă, a se îmbunătăţi rezoluţia de frecvenţă.

Fig. 5.7. Spectrul unui semnal cu două componente sinusoidale cu separaţia de frecvenţă 0,01fΔ =

Page 602: Prelucrarea digitala a semnalelor

309

5.2. Metode neparametrice pentru estimarea densităţii spectrale de putere

Metodele neparametrice de estimare a spectrului sunt relativ

simple şi uşor de implementat cu ajutorul algoritmilor FFT. Ele necesită secvenţe lungi de date pentru a produce rezoluţia de frecvenţă necesară în unele aplicaţii. Aceste metode suferă de “scurgere spectrală“ datorită folosirii ferestrelor şi, implicit, a datelor de lungime finită, N. De multe ori scurgerea spectrală maschează semnalele slabe prezente în date. Limitarea principală a metodelor neparametrice este presupunerea că estimatul funcţiei de autocorelaţie rxx[m] este zero pentru m N≥ , ceea ce limitează rezoluţia în frecvenţă şi calitatea estimatului spectrului de putere. Metodele neparametrice descrise în acest paragraf nu ţin seama de modul în care au fost generate datele. Deoarece obţinerea estimaţilor se bazează complet pe date de lungime finită, rezoluţia de frecvenţă obţinută prin aceste metode este, în cel mai bun caz, egală cu lăţimea spectrală a ferestrei rectangulare de lungime N, care este de aproximativ 1/N la -3dB [33]. Metodele neparametrice urmăresc obţinerea unui estimat consistent al densităţii spectrale de putere prin operaţii de mediere şi netezire efectuate direct asupra periodogramei şi a funcţiei de autocorelaţie. După cum se va vedea, efectul acestora este de reducere a rezoluţiei de frecvenţă, odată cu scăderea dispersiei estimatului.

5.2.1. Metoda Bartlett. Periodograma mediată Metoda Bartlett de reducere a dispersiei periodogramei, implică trei paşi:

Page 603: Prelucrarea digitala a semnalelor

310

1. Secvenţa de date de lungime N se împarte în K segmente care nu se suprapun, fiecare de lungime M xi [n] = x[n + iM], i = 0, 1, …, K-1

n = 0, 1, …, M-1 (5.55) 2. Pentru fiecare segment se calculează periodograma

21( ) 2

0

1( ) [ ]M

i j fnxx i

nP f x n e

−−

=

= ∑ , i = 0, 1, …, K-1 (5.56)

3. Pentru a se obţine estimatul Bartlett al densităţii spectrale de putere, se consideră media aritmetică a celor K periodograme, adică

1( )

0

1( ) ( )K

B ixx xx

iP f P f

K

=

= ∑ (5.57)

Caracterizarea estimatului Presupunând datele staţionare şi M suficient de mare,

1

( ) ( )

0

1[ ( )] [ ( )] [ ( )]K

B i ixx xx xx

iE P f E P f E P f

K

=

= =∑ (5.58)

Din (5.39) şi (5.41) rezultă valoarea medie a fiecărei periodograme ca fiind

1/ 21( ) 2

1 1/ 2

21/ 2

1/ 2

[ ( )] 1 [ ] ( ) ( )

1 sin ( )( )sin ( )

Mi j fm

xx xx xx Bm M

xx

mE P f m e W f d

M

f M dM f

πγ α α α

π αα απ α

−−

=− + −

⎛ ⎞= − = Γ −⎜ ⎟

⎝ ⎠

⎛ ⎞−= Γ ⎜ ⎟−⎝ ⎠

∑ ∫

(5.59) unde

2

1 sin( )sinB

fMW fM f

ππ

⎛ ⎞= ⎜ ⎟

⎝ ⎠ (5.60)

este transformata Fourier a ferestrei Bartlett, definită de relaţia

Page 604: Prelucrarea digitala a semnalelor

311

1 , 1[ ]0, în rest

B

mm Mw n M

⎧− ≤ −⎪= ⎨

⎪⎩

(5.61)

Reducerea lungimii datelor de la N la M=N/K are ca rezultat o fereastră care are o caracteristică de frecvenţă cu lăţimea lobului principal crescută de K ori, aşa încât rezoluţia de frecvenţă s-a redus

de K ori, 3( ) 0,89dB

KfN

Δ = . Admiţând ipoteza anterioară asupra

datelor şi faptul că seturile de date sunt independente, dispersia estimatului Bartlett este

1( ) ( )

20

1 1var[ ( )] var[ ( )] var[ ( )]K

B i ixx xx xx

iP f P f P f

K K

=

= =∑ (5.62)

Înlocuind (5.51) în (5.62), pentru un proces aleator gaussian, se obţine

2

2 21 sin 2 1var[ ( )] ( ) 1 ( )sin 2

Bxx xx xx

fMP f f fK M f K

ππ

⎡ ⎤⎛ ⎞= Γ + ≈ Γ⎢ ⎥⎜ ⎟

⎝ ⎠⎢ ⎥⎣ ⎦ (5.63)

adică dispersia s-a redus de K ori. În realitate seturile de date nu sunt independente decât în unele cazuri particulare, cum este cel al zgomotului alb şi, în consecinţă, reducerea dispersiei este mai mică decât K ori.

5.2.2. Metoda Welch. Periodograma mediată modificată Welch a operat două modificări esenţiale asupra metodei Bartlett: 1. Segmentele de date se pot suprapune

xi[n] = x[n + iD] n = 0, 1, …, M – 1 i = 0, 1, …, L – 1 (5.64)

Page 605: Prelucrarea digitala a semnalelor

312

unde iD este punctul de începere pentru secvenţa i. Dacă D = M, segmentele nu se suprapun şi numărul L de segmente este egal cu K din metoda Bartlett. Dacă D = M/2, există 50% suprapunere peste segmente succesive şi L = 2K segmente. Se pot obţine K segmente de lungime 2M fiecare. Ca urmare a suprapunerii blocurilor, se obţine, aşa cum se va vedea, o anumită reducere a dispersiei. 2. Înainte de a calcula periodograma, segmentele de date sunt ponderate cu o fereastră, ceea ce conduce la o periodogramă modificată

21( ) 2

0

1( ) [ ] [ ]M

i j fnxx i

nP f x n w n e

MUπ

−−

=

= ∑ , i = 0, 1, …, L – 1 (5.65)

unde U este un factor de normalizare a puterii funcţiei fereastră şi este ales ca

1

2

0

1 [ ]M

nU w n

M

=

= ∑ (5.66)

Utilizarea funcţiei fereastră are drept efect reducerea lobilor laterali şi, deci, a fenomenului de scurgere spectrală.

Estimatul Welch al densităţii spectrale de putere este media aritmetică a acestor periodograme modificate, adică

1

( )

0

1( ) ( )L

w ixx xx

iP f P f

L

=

= ∑ (5.67)

Caracterizarea estimatului Valoarea medie a estimatului Welch este

1

( ) ( )

0

1[ ( )] [ ( )] [ ( )]L

w i ixx xx xx

iE P f E P f E P f

L

=

= =∑ (5.68)

Valoarea medie a periodogramei modificate se determină astfel:

1 1

( ) 2 ( )

0 0

1[ ( )] [ ] [ ] [ [ ] [ ]]M M

i j f n mxx i i

n mE P f w n w m E x n x m e

MUπ

− −− −

= =

= =∑∑

Page 606: Prelucrarea digitala a semnalelor

313

1 12 ( )

0 0

1 [ ] [ ] ( )M M

j f n mxx

n mw n w m n m e

MUπγ

− −− −

= =

= −∑∑ (5.69)

Dar 1/ 2

2

1/ 2

[ ] ( ) j nxx xxn e dπαγ α α

= Γ∫ (5.70)

Înlocuind relaţia (5.70) în (5.69), se obţine 1/ 2 1 1

( ) 2 ( )( )

0 01/ 2

1/ 2

1/ 2

1[ ( )] ( ) [ ] [ ]

( ) ( )

M Mi j n m f

xx xxn m

xx

E P f w n w m e dMU

W f d

π αα α

α α α

− −− − −

= =−

⎡ ⎤= Γ =⎢ ⎥⎣ ⎦

= Γ −

∑∑∫

(5.71) unde, prin definiţie

21

2

0

1( ) [ ]M

j fn

nW f w n e

MUπ

−−

=

= ∑ (5.72)

Factorul de normalizare asigură că

1/ 2

1/ 2

( ) 1W f df−

=∫ (5.73)

Dispersia estimatului Welch este 1 1

( ) ( ) 22

0 0

1var[ ( )] [ ( ) ( )] [ ( )]L L

w i j wxx xx xx xx

i jP f E P f P f E P f

L

− −

= =

= −∑∑ (5.74)

Estimatul acesta este, evident, echivalent cu periodograma, în cazul când w[m] este o fereastră dreptunghiulară şi M=N-1. În cazul nesuprapunerii segmentelor succesive (L=K) şi a folosirii ferestre triunghiulare, s-a arătat [62] că

( ) 21 1var[ ( )] var[ ( )] ( )w ixx xx xxP f P f f

L L= ≈ Γ (5.75)

În cazul suprapunerii cu 50% a segmentelor succesive şi folosind fereastră triunghiulară, dispersia estimatului Welch a densităţii spectrale de putere, este [62]

Page 607: Prelucrarea digitala a semnalelor

314

29var[ ( )] ( )8

wxx xxP f f

L≈ Γ (5.76)

Estimatul Welch este asimptotic nedeplasat şi consistent. Rezoluţia acestuia depinde de fereastra folosită. Deşi s-a considerat numai fereastra triunghilară, în calculul dispersiei pot fi folosite şi alte ferestre. În general, acestea vor determina dispersii diferite pentru estimaţi. În plus, segmentele de date pot fi suprapuse cu mai mult sau mai puţin de 50%, cât s-a considerat în acest paragraf, în scopul îmbunătăţirii caracteristicilor relevante ale estimatului.

5.5.3.Metoda Blackman Tukey. Netezirea periodogramei Autorii metodei au propus şi analizat metoda în care secvenţa

de autocorelaţie este întâi multiplicată cu o fereastră şi apoi se calculează transformata Fourier pentru a estima densitatea spectrală de putere. Motivul pentru care funcţia de autocorelaţie estimată se înmulţeşte cu o fereastră este că, pentru deplasări mari, estimaţii sunt de încredere mai mică deoarece sunt calculaţi dintr-un număr mai mic, (N-m), de date. Pentru m apropiat de N, dispersia acestor estimaţi este foarte mare şi, deci, aceştia ar putea interveni cu o pondere mai mică în densitatea spectrală de putere estimată.

Estimatul Blackman-Tukey este

1

2

1( ) [ ] [ ]

MBT j fm

xx xxm M

P f r m w m e π−

=− +

= ∑ (5.77)

unde w[n] este o fereastră aplicată estimatorului funcţiei de autocorelaţie, cu proprietatea că are lungimea 2M-1, 0 [ ] 1w m≤ ≤ ,

[0] 1w = , [ ] [ ]w m w m− = şi este zero pentru m M≥ .

Page 608: Prelucrarea digitala a semnalelor

315

Cu această definiţie pentru w[n], limitele sumei din (5.77) pot fi extinse la ( , )−∞ ∞ . Expresia echivalentă în domeniul frecvenţă a relaţiei (5.77) este

1/ 2

1/ 2

( ) ( ) ( )BTxx xxP f P W f dα α α

= −∫ (5.78)

unde Pxx(α) este periodograma. Efectul înmulţirii cu o fereastră a secvenţei de autocorelaţie este de netezire a estimatului periodogramei, deci descreşterea dispersiei estimatului se face cu preţul reducerii rezoluţiei. Ca urmare, rezoluţia sau capacitatea de a identifica două componente spectrale apropiate este dependentă de lăţimea lobului principal al caracteristicii de frecvenţă a ferestrei. În principiu, ar putea fi folosite toate ferestrele utilizate la sinteza filtrelor FIR [72]. Trebuie avut însă în vedere ca estimatul să fie real şi nenegativ ( ( ) 0, 1/ 2BT

xxP f f≥ ≤ ), deziderate asigurate de

proprietatea ca ferestra considerată să fie o funcţie pară, iar spectrul său să fie nenegativ:

( ) 0W f ≥ , 1/ 2f ≤ (5.79)

Unele ferestre nu satisfac această condiţie, de exemplu, în ciuda nivelului scăzut al lobilor laterali, ferestrele Hamming şi Hanning pot avea ca rezultat estimaţi negativi ai spectrului în unele domenii de frecvenţă. Caracterizarea estimatului Valoarea medie a estimatului densităţii spectrale de putere Blackman-Tukey este

1/ 2

1/ 2

[ ( )] [ ( )] ( )BTxx xxE P f E P W f dα α α

= −∫ (5.80)

unde, din (5.41), rezultă

1/ 2

1/ 2

[ ( )] ( ) ( )xx xx BE P W dα θ α θ θ−

= Γ −∫ (5.81)

Page 609: Prelucrarea digitala a semnalelor

316

unde WB(f) este transformata Fourier a ferestrei Bartlett. Înlocuind (5.81) în (5.80), se obţine

1/ 2 1/ 2

1/ 2 1/ 2

[ ( )] ( ) ( ) ( )BTxx xx BE P f W W f d dθ α θ α α θ

− −

= Γ − −∫ ∫ (5.82)

Echivalent, în domeniul timp, valoarea medie a estimatului Blackman-Tukey este

12

1

12

1

[ ( )] [ [ ]] [ ]

[ ] [ ] [ ]

MBT j fm

xx xxm M

Mj fm

xx Bm M

E P f E r m w m e

m w m w m e

π

πγ

−−

=− +

−−

=− +

= =

=

∑ (5.83)

unde

1 ,[ ]0, în rest

B

mm Nw m N

⎧− <⎪= ⎨

⎪⎩

(5.84)

Lungimea ferestrei pentru w[n] trebuie aleasă astfel încât M << N, adică fereastra w[n] să fie de lungime mai mică decât fereastra

Bw [m] pentru a produce o netezire suplimentară a periodogramei. În aceste condiţii (5.82) devine

1/ 2

1/ 2

[ ( )] ( ) ( )BTxx xxE P f W f dθ θ θ

≈ Γ −∫ (5.85)

deoarece 1/ 2 1/ 2

1/ 2 1/ 2

( ) ( ) ( ) ( )

( )

B BW W f d W W f d

W f

α θ α α α θ α α

θ− −

− − = − −

≈ −

∫ ∫ (5.86)

Dispersia estimatului Blackman-Tukey al spectrului este 2 2var[ ( )] [ ( )] [ ( )]BT BT BT

xx xx xxP f E P f E P f= − (5.87) unde valoarea medie poate fi aproximată de relaţia (5.85), iar valoarea pătratică medie este

Page 610: Prelucrarea digitala a semnalelor

317

2

1/ 2 1/ 2

1/ 2 1/ 2

[ ( )]

[ ( ) ( )] ( ) ( )

BTxx

xx xx

E P f

E P P W f W f d dα θ α θ α θ− −

=

= − −∫ ∫ (5.88)

În ipoteza că procesul aleator este gaussian, folosind rezultatul din exemplul 5.2, se obţine

2 2

[ ( ) ( )]

sin ( ) sin ( )( ) ( ) 1sin ( ) sin ( )

xx xx

xx xx

E P P

N NN N

α θ

π θ α π θ αα θπ θ α π θ α

=

⎧ ⎫⎡ ⎤ ⎡ ⎤+ −⎪ ⎪= Γ Γ + +⎨ ⎬⎢ ⎥ ⎢ ⎥+ −⎣ ⎦ ⎣ ⎦⎪ ⎪⎩ ⎭

(5.89)

Înlocuind (5.89) în (5.88), se obţine 21/ 2

2

1/ 2

1/ 2 1/ 2

1/ 2 1/ 2

2 2

[ ( )] ( ) ( )

( ) ( ) ( ) ( )

sin ( ) sin ( )sin ( ) sin ( )

BTxx xx

xx xx

E P f W f d

W f W f

N N d dN N

θ θ θ

α θ α θ

π θ α π θ α α θπ θ α π θ α

− −

⎡ ⎤= Γ − +⎢ ⎥⎣ ⎦

+ Γ Γ − − ×

⎧ ⎫⎡ ⎤ ⎡ ⎤+ −⎪ ⎪× +⎨ ⎬⎢ ⎥ ⎢ ⎥+ −⎣ ⎦ ⎣ ⎦⎪ ⎪⎩ ⎭

∫ ∫ (5.90)

Primul termen din (5.90) este pătratul valorii medii a lui ( )BT

xxP f , astfel încât al doilea termen din (5.90) reprezintă dispersia.

În cazul în care N M>> , funcţiile sinπ(θ + α)N/Nsinπ(θ + α) şi sinπ(θ - α)N/Nsinπ(θ - α) sunt relativ “înguste” în comparaţie cu W(f) în apropiere de θ = -α şi, respectiv θ = α. Prin urmare

2 21/ 2

1/ 2

sin ( ) sin ( )( ) ( )sin ( ) sin( )

( ) ( ) ( ) ( )

xx

xx xx

N NW f dN N

W f W fN

π θ α π θ αθ θ θπ θ α θ α

α α α α−

⎧ ⎫⎡ ⎤ ⎡ ⎤+ −⎪ ⎪Γ − + ≈⎨ ⎬⎢ ⎥ ⎢ ⎥+ −⎣ ⎦ ⎣ ⎦⎪ ⎪⎩ ⎭Γ − + + Γ −

(5.91)

Page 611: Prelucrarea digitala a semnalelor

318

Cu această aproximare, dispersia lui ( )BTxxP f devine

1/ 2

1/ 2

var[ ( )]1 ( ) ( )[ ( ) ( ) ( ) ( )]

BTxx

xx xx xx

P f

W f W f W f dN

α α α α α α α−

Γ − Γ − + + Γ −∫

1/ 22 2

1/ 2

1 ( ) ( )xx W f dN

α α α−

≈ Γ −∫ (5.92)

în care, s-a efectuat aproximarea

1/ 2

1/ 2

( ) ( ) ( ) ( ) 0xx xx W f W f dα α α α α−

Γ Γ − − + ≈∫ (5.93)

În relaţia (5.92) mai poate fi făcută o aproximare. Dacă W(f) este “îngust”, comparativ cu spectrul real Γxx(f), (5.92) se poate aproxima ca

1/ 22 2

1/ 2

12 2

1

1var[ ( )] ( ) ( )

1( ) [ ]

BTxx xx

M

xxm M

P f f W dN

f w mN

θ θ−

=− +

⎡ ⎤≈ Γ ≈⎢ ⎥

⎣ ⎦⎡ ⎤Γ ⎢ ⎥⎣ ⎦

∑ (5.94)

Şi în acest caz se evidenţiază cerinţe contradictorii în obţinerea unor estimatori de bună calitate:

- pentru o deplasare mică este necesar M mare, - pentru o dispersie mică, M trebuie să fie cât mai mic. De obicei se recomandă o valoare de cel mult M=N/5. 5.2.4. Caracteristici de performanţă ai estimatorilor densităţii spectrale de putere neparametrici Pentru a compara calitatea estimaţilor periodogramă, Bartlett,

Welch, Blackman-Tukey, s-a introdus ca masură a calităţii, raportul dintre pătratul valorii medii şi dispersia estimatului, numit factor de calitate, adică

Page 612: Prelucrarea digitala a semnalelor

319

2 [ ( )]

var[ ( )]

Axx

A Axx

E P fQP f

= (5.95)

unde A = P, B, W sau BT pentru cei patru estimaţi. Inversul acestei mărimi se numeşte variabilitate şi poate fi,

de asemenea, folosit ca o măsură a performanţei. a) Periodograma

Valoarea medie a periodogramei este 1/ 2

1/ 2

[ ( )] ( ) ( )Pxx xx BE P f W f dθ θ θ

= Γ −∫ (5.96)

unde 2

1 sin( )sinB

fNW fN f

ππ

⎛ ⎞= ⎜ ⎟

⎝ ⎠ (5.97)

şi dispersia

2

2 sin 2var[ ( )] ( ) 1sin 2xx

Pxx

fNP f fN f

ππ

⎡ ⎤⎛ ⎞= Γ +⎢ ⎥⎜ ⎟

⎝ ⎠⎢ ⎥⎣ ⎦ (5.98)

Pentru N →∞ 1/ 2

1/ 2

2

[ ( )] ( ) ( ) [0] ( ) ( )

var[ ( )] ( )

xx xx B B xx xx

xx xx

E P f f W d w f f

P f f

θ θ−

→ Γ = Γ = Γ

→Γ

∫ (5.99)

adică, aşa cum s-a precizat anterior, periodograma este un estimat asimptotic nedeplasat al spectrului de putere, dar nu este consistent.

Asimptotic, periodograma este caracterizată de factorul de calitate

2

2

( ) 1( )

xxP

xx

fQf

Γ= =Γ

(5.100)

Page 613: Prelucrarea digitala a semnalelor

320

Faptul că QP este fix şi independent de lungimea datelor arată calitatea scăzută a acestui estimat. b) Estimatul Bartlett Media şi dispersia estimatului Bartlett al spectrului de putere sunt

1/ 2

1/ 2

[ ( )] ( ) ( )Bxx xx BE P f W f dθ θ θ

= Γ −∫ (5.101)

2

21 sin 2var[ ( )] ( ) 1sin 2

Bxx xx

fMP f fK M f

ππ

⎡ ⎤⎛ ⎞= Γ +⎢ ⎥⎜ ⎟

⎝ ⎠⎢ ⎥⎣ ⎦ (5.102)

unde 2

1 sin( )sinB

fMW fM f

ππ

⎛ ⎞= ⎜ ⎟

⎝ ⎠ (5.103)

Pentru N →∞ şi M →∞ , astfel încât NKM

= rămâne fix

1/ 2

1/ 2

2

[ ( )] ( ) ( ) ( ) (0) ( )

1var[ ( )] ( )

Bxx xx B xx B xx

Bxx xx

E P f f W f df f w f

P f fK

→ Γ = Γ = Γ

→ Γ

∫ (5.104)

Se observă că estimatul Bartlett este asimptotic nedeplasat şi dacă K creşte odată cu N, estimatul este consistent. Asimptotic, factorul de calitate al estimatului devine

B

NQ KM

= = (5.105)

Rezoluţia în frecvenţă a estimatului Bartlett, măsurată prin considerarea lăţimii de bandă la 3dB a lobului principal al ferestrei rectangulare, este [62]

Page 614: Prelucrarea digitala a semnalelor

321

0,9fM

Δ = (5.106)

Înlocuind (5.106) în (5.105) rezultă

1,10,9 /B

NQ N ff

= = ΔΔ

(5.107)

c) Estimatul Welch

Media şi dispersia estimatului Welch al spectrului de putere sunt

1/ 2

1/ 2

[ ( )] ( ) ( )Wxx xxE P f W f dθ θ θ

= Γ −∫ (5.108)

unde

21

2

0

1( ) [ ]M

j fn

nW f w n e

MUπ

−−

=

= ∑ , (5.109)

respectiv

2

2

1 ( ) f ă ră suprapunerevar[ ( )] pentru suprapunere 50%9 ( )

şi fereastră triunghiulară8

xxWxx

xx

fL

P ff

L

⎧ Γ⎪⎪= ⎨⎪ Γ⎪⎩

(5.110)

Pentru N →∞ şi M →∞ [ ( )] ( )W

xx xxE P f f→Γ (5.111) Dacă L creşte odată cu N, dispersia → 0, deci estimatul este consistent. În condiţiile (5.110), factorul de calitate devine

fără suprapunere

50% suprapunere şi 8 16fereastră tringhiulară9 9

W

NLM

QL N

M

⎧ =⎪⎪= ⎨⎪ =⎪⎩

(5.112)

Page 615: Prelucrarea digitala a semnalelor

322

Lăţimea de bandă a ferestrei triunghiulare la 3 dB este [71]

1,28fM

Δ = (5.113)

În consecinţă, factorul de calitate, exprimat în funcţie de şif NΔ este

0,78 fără suprapunere50% suprapunere şi

1,39fereastră triunghiulară

W

N fQ

N f

Δ⎧⎪= ⎨ Δ⎪⎩

(5.114)

d) Estimatul Blackman -Tukey Media şi dispersia acestui estimat sunt date aproximativ de

1/ 2

1/ 2

12 2

1

[ ( )] ( ) ( )

1var[ ( )] ( ) [ ]

BTxx xx

MBT

xx xxm M

E P f W f d

P f f w mN

θ θ θ−

=− +

≈ Γ −

⎡ ⎤≈ Γ ⎢ ⎥⎣ ⎦

∑ (5.115)

unde w[m] este secvenţa fereastră cu care se înmulţeşte funcţia de autocorelaţie estimată.

Pentru ferestrele triunghiulară şi rectangulară, avem 1

2

1

2 / fereastra dreptungiulară1 [ ]2 / 3 fereastra triunghiulară

M

n M

M Nw n

M NN

=− +

⎧= ⎨⎩

∑ (5.116)

Valoarea medie a estimatului este asimptotic nedeplasată. Factorul de calitate al estimatului, pentru fereastra triunghiulară este

1,5BT

NQM

= (5.117)

Deoarece lungimea ferestrei este 2M – 1, rezoluţia în frecvenţă măsurată la 3dB este

1,28 0,642

fM M

Δ = = (5.118)

şi, deci

Page 616: Prelucrarea digitala a semnalelor

323

1,5 2,340,64BTQ N f N f= Δ = Δ (5.119)

Din analiza factorului de calitate se observă că estimaţii Welch şi Blackman-Tukey sunt relativ mai buni decât cel Bartlett. Oricum, însă, diferenţele de performanţe între estimatori sunt mici. Factorul de calitate creşte odată cu creşterea lungimii datelor, ceea ce nu se întâmplă pentru periodogramă. Mai mult, factorul de calitate depinde de produsul dintre lungimea datelor şi rezoluţia în frecvenţă. Pentru un nivel de calitate dorit, rezoluţia în frecvenţă poate fi îmbunătăţită prin creşterea lungimii datelor.

5.3. Metode parametrice pentru estimarea spectrului de putere

Metodele parametrice nu necesită presupunerile semnalate în

paragraful 5.2, ele extrapolând valorile funcţiei de autocorelaţie pentru deplasări m N≥ . Acest lucru este posibil dacă există informaţii despre modul cum au fost generate datele. În acest caz se poate construi un model de generare a semnalului cu un număr de parametri ce poate fi estimat din datele observate. Drept urmare, aproximarea prin modelare elimină necesitatea funcţiilor fereastră şi presupunerea că secvenţa de autocorelaţie este zero pentru

m N≥ , ceea ce conduce la situaţia că metodele parametrice de

estimare spectrală oferă rezoluţie în frecvenţă mai bună decât cele neparametrice.

Metodele parametrice se bazează pe modelarea secvenţei de date x[n] ca fiind ieşirea unui sistem liniar caracterizat de o funcţie de sistem raţională, de forma

Page 617: Prelucrarea digitala a semnalelor

324

0

1

( )( )( ) 1

qk

kk

pk

kk

b zB zH zA z a z

=

=

= =+

∑ (5.120)

căreia îi corespunde ecuaţia cu diferenţe

1 0[ ] [ ] [ ]

p q

k kk k

x n a x n k b w n k= =

= − − + −∑ ∑ , (5.121)

unde w[n] este secvenţa de intrare în sistem. În estimarea spectrului de putere, secvenţa de intrare nu este

observabilă, dar dacă ieşirea [ ]x n este un proces aleator staţionar, atunci şi secvenţa de intrare este, de asemenea, un proces aleator staţionar. Într-un astfel de caz, densitatea spectrală de putere a datelor (ieşirii [ ]x n ) este

2( ) ( ) ( )xx wwf H f fΓ = Γ (5.122)

unde ( )ww fΓ este densitatea spectrală de putere a secvenţei de intrare şi H(f) este răspunsul în frecvenţă al modelului. Deoarece obiectivul este estimarea spectrului ( )xx fΓ , este convenabil a presupune că secvenţa de intrare w[n] este o secvenţă de zgomot alb, de medie zero, cu funcţia de autocorelaţie

2[ ] [ ]ww wm mγ σ δ= (5.123)

unde 2wσ este dispersia 22( [ [ ] ])w E w nσ = . Rezultă atunci

222 2

2

( )( ) ( )

( )xx w w

B ff H f

A fσ σΓ = = (5.124)

În secţiunea 1.22 a fost descrisă reprezentarea unui proces aleator staţionar în forma (5.124). În abordarea pe bază de model, estimarea spectrului se efectuează în doi paşi. Dată fiind secvenţa finită x[n], 0 1n N≤ ≤ − , se estimează întâi funcţia de autocorelaţie

Page 618: Prelucrarea digitala a semnalelor

325

dintr-o sumă finită, apoi, pe baza acestor estimaţi, se estimează parametrii ˆka şi kb ai modelului. Pe baza acestora, se estimează spectrul de putere conform relaţiei

2

22

ˆ ( )( )

ˆ( )xx w

B fP f

A fσ= (5.124’).

Relaţia (5.124’) reprezintă cazul general al metodelor parametrice de estimare spectrală, care arată că în acest demers trebuie determinaţi estimaţii parametrilor sistemului, ˆka şi kb . Se reaminteşte că procesul aleator x[n] generat de modelul poli-zerouri dat de (5.120) sau (5.121) se numeşte proces autoregresiv cu medie alunecătoare (ARMA) de ordin (p,q). Dacă q=0 şi 0b =1, modelul rezultat are o funcţie de sistem

H(z)= 1( )A z

şi ieşirea sa, x[n], se numeşte proces autoregresiv de

ordin p şi se notează AR(p). Al treilea model posibil se obţine impunând A(z)=1, astfel încât H(z)=B(z). Ieşirea x[n] se numeşte proces cu medie alunecătoare (MA) de ordin q , notat MA(q). Dintre acestea, modelul AR este de departe cel mai folosit, din două motive: 1- este potrivit pentru reprezentarea spectrelor de bandă îngustă; 2- are ca rezultat ecuaţii liniare foarte simple pentru determinarea parametrilor AR. Faţă de acesta, modelul MA necesită mult mai mulţi coeficienţi pentru reprezentarea spectrelor de bandă îngustă şi este rareori folosit singur ca model pentru estimarea spectrului.

Page 619: Prelucrarea digitala a semnalelor

326

Combinând polii şi zerourile, modelul ARMA produce o reprezentare mai eficientă din punct de vedere al numărului parametrilor modelului pentru reprezentarea spectrului procesului aleator, cu dezavantajul complicării calculelor pentru parametrii MA, care rezultă din rezolvarea unor ecuaţii neliniare.

Estimatorii parametrici au deplasări şi dispersii mai mici decât cei neparametrici. Folosind metodele parametrice de estimare, se poate îmbunătăţi semnificativ rezoluţia în frecvenţă, cu condiţia ca modelul să fie adecvat procesului. În caz contrar, pot rezulta estimatori neconformi cu realitatea, care conduc la decizii eronate.

5.3.1. Relaţii între funcţia de autocorelaţie şi parametrii modelului

În paragraful 1.24 s-au stabilit relaţiile dintre funcţia de autocorelaţie γxx[m] şi parametrii ak şi bk ai modelului ARMA adoptat pentru proces. Pentru un proces ARMA(p,q), aceste relaţii sunt

1

2

1 0*

[ ]

[ ] [ ] [ ] 0

[ ] 0

p

k xxk

p q m

xx k xx w k mk k

xx

a m k m q

m a m k h k b m q

m m

γ

γ γ σ

γ

=

+= =

⎧− − >⎪⎪⎪= − − + ≤ ≤⎨⎪⎪ − <⎪⎩

∑ ∑ (5.125)

Prin restricţionarea lui m>q, relaţiile (5.125) conduc la un sistem de ecuaţii liniare din care se pot determina parametrii ak. Acestea sunt

Page 620: Prelucrarea digitala a semnalelor

327

1

2

[ ] [ 1] ... [ 1][ 1] [ ] ... [ 2]

.................. ............. ... .....................

[ 1] [ 2] ... [ ]

xx xx xx

xx xx xx

pxx xx xx

aq q q paq q q p

aq p q p q

γ γ γγ γ γ

γ γ γ

− − + ⎡ ⎤⎡ ⎤⎢ ⎥⎢ ⎥+ − + ⎢ ⎥⎢ ⎥ =⎢ ⎥⎢ ⎥⎢ ⎥⎢ ⎥+ − + −⎣ ⎦ ⎣ ⎦

[ 1][ 2]........[ ]

xx

xx

xx

qq

q p

γγ

γ

+⎡ ⎤⎢ ⎥+⎢ ⎥= −⎢ ⎥⎢ ⎥+⎣ ⎦

(5.126)

În practică se cunoaşte numai un interval finit dintr-o realizare particulară a procesului, din care se estimează valorile funcţiei de autocorelaţie. Folosind aceste valori estimate în loc de γxx[m], din sistemul de ecuaţii (5.126) se determină parametrii ˆka .

Din relaţia (5.126) se observă că dacă se cunosc parametrii ak şi funcţia de autocorelaţie pentru valori ale argumentului din intervalul 0 m p≤ ≤ , atunci valoarea funcţiei de autocorelaţiei se poate determina în mod unic şi pentru m > q. În consecinţă, modelul sistemului liniar extinde valorile funcţiei de autocorelaţie pentru m>p. Parametrii ak sunt obţinuţi din (5.126), dar aceştia nu pot fi folosiţi în determinarea facilă a parametrilor MA, deoarece în ecuaţia

2

0 1[ ] [ ] [ ]

q m p

w k m xx k xxk k

h k b m a m kσ γ γ−

+= =

= + −∑ ∑ , 0 m q≤ ≤ (5.127)

intervine răspunsul la impuls h[k] al sistemului. Acesta poate fi exprimat în funcţie de parametrii bk şi ak prin împărţirea lui B(z) la A(z), dar aceasta conduce la un set de ecuaţii neliniare pentru parametrii MA.

Page 621: Prelucrarea digitala a semnalelor

328

5.3.2. Estimarea spectrului de putere pe baza modelului autoregresiv (AR) Dacă se adoptă un model AR(p) pentru datele observate, relaţia dintre parametrii modelului şi secvenţa de autocorelaţie se obţine din (5.125), pentru q=0, adică

1

2

1*

[ ] 0

[ ] [ ] 0

[ ] 0

p

k xxk

p

xx k xx wk

xx

a m k m

m a m k m

m m

γ

γ γ σ

γ

=

=

⎧− − >⎪⎪⎪= − − + =⎨⎪⎪ − <⎪⎩

∑ (5.128)

În acest caz parametrii ak se obţin din soluţia sistemului de ecuaţii

1

2

[0] [ 1] ... [ 1] [1][1] [0] ... [ 2] [2]

....... ... ... ... ...

[ 1] [ 2] ... [0] [ ]

xx xx xx xx

xx xx xx xx

pxx xx xx xx

apap

ap p p

γ γ γ γγ γ γ γ

γ γ γ γ

− − + ⎡ ⎤⎡ ⎤ ⎡ ⎤⎢ ⎥⎢ ⎥ ⎢ ⎥− + ⎢ ⎥⎢ ⎥ ⎢ ⎥= −⎢ ⎥⎢ ⎥ ⎢ ⎥⎢ ⎥⎢ ⎥ ⎢ ⎥− −⎣ ⎦ ⎣ ⎦⎣ ⎦

(5.129)

care reprezintă ecuaţiile Yule-Walker sau normale. Dispersia 2

wσ poate fi obţinută din ecuaţia

2

1[0] [ ]

p

w xx k xxk

a kσ γ γ=

= + −∑ (5.130)

Ecuaţiile (5.129) şi (5.130) sunt de obicei combinate în una singură, de forma

2

1

1[0] [ 1] ... [ ][1] [0] ... [ 1] 0

.................. ............. ... ..................... ........

[ ] [ 1] ... [0] 0

xx xx xx w

xx xx xx

pxx xx xx

pap

ap p

γ γ γ σγ γ γ

γ γ γ

− − ⎡ ⎤ ⎡ ⎤⎡ ⎤⎢ ⎥ ⎢ ⎥⎢ ⎥− + ⎢ ⎥ ⎢ ⎥⎢ ⎥ =⎢ ⎥ ⎢ ⎥⎢ ⎥⎢ ⎥ ⎢ ⎥⎢ ⎥−⎣ ⎦ ⎣ ⎦⎣ ⎦

(5.131)

Page 622: Prelucrarea digitala a semnalelor

329

Matricea de corelaţie din (5.129) sau (5.131) este Toeplitz, motiv pentru care ecuaţiile Yule Walker pot fi rezolvate eficient cu algoritmul Levison-Durbin. Toţi parametrii modelului AR(p) pot fi determinaţi din secvenţa de autocorelaţie γxx[m], pentru 0 m p≤ ≤ . Mai mult, din (5.128), după ce s-au determinat coeficienţii ak, se poate calcula funcţia de autocorelaţie pentru m > p. Dacă procesul aleator este cunoscut numai pentru un interval finit , 0 1n N≤ ≤ − , în determinarea parametrilor modelului vor interveni estimaţi ai funcţiei de autocorelaţie. Există mai multe posibilităţi de a estima funcţia de autocorelaţie a procesului, lucru care conduce la diferite metode de estimare a spectrului de putere pentru semnale modelate AR.

5.3.3. Estimarea spectrului de putere a semnalelor modelate AR folosind metoda autocorelaţiei sau Yule-Walker În această metodă se estimează secvenţa de autocorelaţie din

date şi apoi estimaţii se folosesc în relaţiile Yule-Walker (5.129) pentru a determina parametrii modelului AR. Funcţia de autocorelaţie se determină cu relaţia

1

0

1[ ] [ ] [ ], 0N m

xxn

r m x n x n m mN

− −

=

= + ≥∑ (5.132)

Din paragraful 3.5 se reaminteşte că parametrii ka ai

procesului AR ( )p sunt egali cu coeficienţii predictorului [ ] pa k

de ordin p şi eroarea pătratică medie minimă a predictorului de ordinul p este egală cu dispersia zgomotului alb care se aplică modelului pentru a forma datele.

Page 623: Prelucrarea digitala a semnalelor

330

Datorită egalităţii semnalate anterior, parametrii AR se determină cu ajutorul algoritmul Levison-Durbin în care [ ]xx mγ se înlocuieşte cu [ ]xxr m . Estimatul corespunzător al spectrul de putere este

2

22

1

ˆ( )

ˆ1 [ ]

wpYWxx p

j kfp

k

P fa k e π

σ

=

=

+∑ (5.133)

unde ˆ [ ]pa k sunt estimaţii parametrilor AR rezultaţi din ecuaţiile

recursive Levison-Durbin, iar

( )22

1

ˆˆ ˆ[0] 1 [ ]p

fwp p xx k

kE r a kσ

=

⎡ ⎤= = −⎣ ⎦∏ (5.134)

este valoarea pătratică medie minimă a erorii de predicţie estimate pentru predictorul de ordin p. Un exemplu care ilustrează performanţele acestui estimator din punct de vedere al rezoluţiei în frecvenţă, comparativ cu alte metode, este prezentat în paragraful 5.3.9.

5.3.4. Estimarea spectrului de putere a semnalelor modelate AR folosind metoda Burg

Metoda propusă de Burg pentru estimarea parametrilor modelului AR poate fi asimilată cu o metodă lattice recursivă în care coeficienţii de reflexie se estimează pe baza minimizării erorilor din predicţia liniară înainte şi înapoi, exprimate în formă compusă, cu costrângerea că parametrii AR să satisfacă ecuaţiile recursive Levison-Durbin.

Pentru a obţine estimatul, fie datele x[n], n = 1,2,..,N-1 şi fie estimaţii predicţiei liniare înainte şi înapoi, de ordin m, daţi de relaţiile

Page 624: Prelucrarea digitala a semnalelor

331

1

1

ˆ[ ] [ ] [ ]

ˆ[ ] [ ] [ ]

m

mk

m

mk

x n a k x n k

x n m a k x n k m

=

=

= − −

− = − + −

∑ (5.135)

şi erorile de predicţie corespunzătoare fm[n] şi respectiv, gm[n], date de

1

1

ˆ[ ] [ ] [ ] [ ] [ ] [ ]

ˆ[ ] [ ] [ ]

[ ] [ ] [ ]

m

m mk

m

m

mk

f n x n x n x n a k x n k

g n x n m x n m

x n m a k x n m k

=

=

= − = + −

= − − − =

= − + − +

(5.136)

unde am[k], 0 1k m≤ ≤ − , m = 1, 2,…, p, sunt coeficienţii de predicţie.

Eroarea pătratică globală se determină cu relaţia

1 2 2[ [ ] [ ] ]

N

m m mn m

f n g n ξ−

=

+ =∑ (5.137)

Această eroare urmează a fi minimizată prin alegerea coeficienţilor de predicţie, supuşi costrângerii de a satisface ecuaţiile recursive Levison-Durbin, date de

1 1[ ] [ ] [ ],1 1,1m m m ma k a k K a m k k m m p− −= + − ≤ ≤ − ≤ ≤ (5.138) unde Km = am[m] este al m-lea coeficient de reflexie din realizarea lattice a predictorului. Se reaminteşte că prin înlocuirea relaţiei (5.138) în (5.136) rezultă perechea de ecuaţii recursive pentru erorile de predicţie înainte şi înapoi, de forma

1 1

1 1

[ ] [ ] [ 1][ ] [ 1] [ ]

m m m m

m m m m

f n f n K g ng n g n K f n

− −

− −

= + −

= − + (5.139)

Înlocuind (5.139) în (5.137) şi minimizând în raport cu Km , rezultă

Page 625: Prelucrarea digitala a semnalelor

332

( ) ( )1

2 21 1 1 1[ ] [ 1] [ 1] [ ]

N

m m m m m m mn m

f n K g n g n K f nξ−

− − − −=

⎡ ⎤= + − + − + =⎣ ⎦∑

1

2 2 21 1 1 1[ ] 2 [ ] [ 1] [ 1]

N

m m m m m mn m

f n K f n g n K g n−

− − − −=

= + − + − +∑

21 1 1 1[ 1] 2 [ ] [ 1] [ ]m m m m m mg n K f n g n K f n− − − −+ − + − + (5.140)

Condiţia necesară de extrem este

( )1

2 21 1 1 1

ˆ4 [ ] [ 1] 2 [ 1] [ ] 0N

mm m m m m

n mm

f n g n K g n f nKξ −

− − − −=

∂= − + − + =

∂ ∑ (5.141)

de unde rezultă

( ) ( )

1

1 1

12 2

1 1

[ ] [ 1]ˆ 1,2,...,1 [ ] [ 1]

2

N

m mn m

m N

m mn m

f n g nK m p

f n g n

− −=

− −=

− −= =

⎡ ⎤+ −⎣ ⎦

∑ (5.142)

Numărătorul relaţiei (5.142) este un estimat al coeficientului de corelaţie dintre erorile de predicţie înainte şi înapoi. Se observă

că ˆ 1mK < , astfel încât modelul numai cu poli obţinut din date este

stabil. De asemenea, se observă similitudinea dintre (5.142) cu corespondentul Km statistic dat de (3.61). Numitorul relaţiei (5.142) este estimatul pe baza celor mai mici pătrate a erorilor înainte şi înapoi 1

fmE − şi 1

bmE − , aşa că se poate scrie

1

1 1

1 1

[ ] [ 1]ˆ 1,2,...,1 ˆ ˆ

2

N

m mn m

mf b

m m

f n g nK m p

E E

− −=

− −

− −= =

⎡ ⎤+⎣ ⎦

∑ (5.143)

unde 1 1ˆ ˆf b

m mE E− −+ este un estimat al erorii pătratice globale mξ . În concluzie, algoritmul Burg calculează coeficienţii de reflexie ai structurii lattice echivalente cu relaţia (5.143), iar parametrii modelului AR sunt obţinuţi apoi cu ajutorul algoritmului Levison -Durbin.

Page 626: Prelucrarea digitala a semnalelor

333

Din estimaţii astfel obţinuţi rezultă estimatul spectrului de putere

22

1

ˆ( )

ˆ1 [ ]

pBUxx p

j fkp

k

EP f

a k e π−

=

=+∑

(5.144)

unde ˆpE este valoarea pătratică medie a erorii globale de predicţie

estimate pentru predictorul de ordin p. Avantajele majore ale metodei Burg sunt:

1- are rezoluţie bună în frecvenţă; 2- determină un model AR stabil; 3- este eficient din punct de vedere al calculelor. Dezavantaje:

Algoritmul prezintă o scindare a liniilor sau componentelor (vârfurilor) spectrale pentru raporturi semnal zgomot ridicate [39]. Aceasta înseamnă că, dacă spectrul semnalului x[n] are o singură componentă spectrală la o anumită frecvenţă, în spectrul estimat cu ajutorul metodei Burg pot apărea două sau mai multe componente apropiate în imediata vecinătate a frecvenţei respective. Această situaţie este ilustrată în figura 5.15. Pentru ordine mari, metoda poate introduce vârfuri (componente) false, de nivel scăzut, în spectrul estimat la frecvenţe la care spectrul semnalulul este nul. Mai mult, pentru semnale sinusoidale de durată mică, afectate de zgomot, rezultă o deplasare de frecvenţă faţă de frecvenţa adevărată, funcţie de faza semnalului sinusoidal [15] [72].

În literatura de specialitate se tratează modificări ale metodei Burg pentru surmontarea acestor dezavantaje, modificări care, în esenţă, constau în introducerea unei ferestre de ponderare a erorilor pătratice înainte şi înapoi. În felul acesta se optimizează eroarea pătratică ponderată

Page 627: Prelucrarea digitala a semnalelor

334

1 2 2[ ] [ ] [ ]

NWBm m m m

n mw n f m g nξ

=

⎡ ⎤= +⎣ ⎦∑ (5.145)

Înlocuind (5.139) în (5.145) şi minimizând în raport cu coeficienţii de reflexie, rezultă, prin parcurgerea unei proceduri similare celei folosite la optimizarea erorii neponderate

1

1 1 1

12 2

1 1 1

[ ] [ ] [ 1]ˆ

1 [ ] [ ] [ 1]2

N

m m mn m

m N

m m mn m

w n f n g nK

w n f n g n

− − −=

− − −=

− −=

⎡ ⎤+ −⎣ ⎦

∑ (5.146)

Rezultate bune au fost obţinute prin folosirea ferestrelor Hamming şi parabolică [61]. Un exemplu care ilustrează performanţele metodei Burg este prezentat în paragraful 5.3.9.

5.3.5. Estimarea spectrului de putere a semnalelor modelate AR folosind metoda covarianţei modificate sau a celor mai mici pătrate fără constrângeri

După cum s-a prezentat anterior, metoda Burg constă în

folosirea unui algoritm lattice utilizând metoda celor mai mici pătrate cu constângerea pentru coeficienţii predictorului de a satisface ecuaţiile Levison-Durbin. Ca urmare a acestei constrângeri, creşterea ordinului modelului AR necesită numai o singură optimizare a parametrilor la fiecare etapă. Spre deosebire de această abordare, se poate folosi algoritmul celor mai mici pătrate fără această costrângere.

Pentru a detalia, se construieşte estimatul predicţiei liniare înainte şi înapoi şi erorile corespunzătoare ca în relaţiile (5.135) si (5.136).

Se minimizează suma pătratelor ambelor erori, adică

Page 628: Prelucrarea digitala a semnalelor

335

1 2 2

2 21

1 1

[ ] [ ]

[ ] [ ] [ ] [ ] [ ] [ ]

N

p p pn p

p pN

p pn p k k

f n g n

x n a k x n k x n p a k x n k p

ξ−

=

= = =

⎡ ⎤= + =⎣ ⎦

⎡ ⎤+ − + − + + −⎢ ⎥

⎢ ⎥⎣ ⎦

∑ ∑ ∑(5.148)

ca în metoda Burg. În (5.148) nu se mai impune ca parametrii AR să satisfacă relaţiile Levison-Durbin. Minimizarea fără constrângeri a lui pξ în

raport cu coeficienţii de predicţie determină setul de ecuaţii liniare

1[ ] [ , ] [ ,0]

p

p xx xxk

a k r l k r l=

= −∑ 1,2,...,l p= (5.149)

unde, prin definiţie, secvenţa [ , ]xxr l k este

[ ]1

[ , ] [ ] [ ] [ ] [ ]N

xxn p

r l k x n k x n l x n p l x n p k−

=

= − − + − + − +∑ (5.150)

Eroarea rezultată utilizând metoda celor mai mici pătrate (LS) este

1

ˆ[0,0] [ ] [0, ]p

LSp xx p xx

kr a k r kξ

=

= +∑ (5.151)

Estimatul spectrului de putere rezultat în urma folosirii algoritmului LS fără costrângeri este

22

1

ˆ1 [ ]

LSpLS

xx pj fk

pk

Pa k e π

ξ

=

=

+∑ (5.152)

Matricea de corelaţie din (5.150) nu este Toeplitz, aşa că algoritmul Levison-Durbin nu poate fi aplicat, dar pot fi dezvoltaţi alţi algoritmi pentru eficientizarea calculelor, de complexitate O(p2). Caracteristicile acestei metode sunt superioare metodei Burg, în sensul că nu prezintă aceeaşi senzitivitate la apariţia scindării liniilor spectrale, a vârfurilor false şi a deplasării de frecvenţă. Această metodă, în schimb, nu garantează că parametrii AR astfel estimaţi determină un model AR stabil.

Page 629: Prelucrarea digitala a semnalelor

336

Un exemplu care ilustrează această metodă este prezentat în paragraful 5.3.9.

5.3.6. Alegerea ordinului modelului AR

Ca regulă generală, dacă se adoptă un ordin prea mic pentru

modelul AR, se obţine un spectru puternic netezit. Dacă ordinul p este prea mare, există riscul introducerii de vârfuri false de nivel scăzut în spectru. Un indicator de performanţă al modelului AR este valoarea pătratică medie a erorii care, în general, este diferită pentru fiecare din estimatorii prezentaţi. Valoarea pătratică medie a erorii descreşte cu creşterea ordinului modelului. Se poate observa viteza de descreştere şi apoi să se decidă încetarea creşterii ordinului, când eroarea devine mică. Aceasta abordare este, de obicei, imprecisă şi necontrolabilă.

Două din cele mai bune criterii pentru selectarea ordinului modelului au fost propuse de Akaike [34]: 1-Criteriul erorii de predicţie finale FPE (Final Prediction Error) în care ordinul este selectat astfel încât să se minimizeze indicele de performanţă

2 1ˆ( )1wp

N pFPE pN p

σ⎛ ⎞+ +

= ⎜ ⎟− −⎝ ⎠ (5.153)

unde 2ˆwpσ este dispersia estimată a erorii de predicţie liniară.

2-Criteriul informaţiei Akaike AIC(p),(Akaike Information Criterion) se bazează pe alegerea ordinului care minimizează cantitatea

2ˆ( ) ln 2 /wpAIC p p Nσ= + (5.154)

Page 630: Prelucrarea digitala a semnalelor

337

Cu creşterea ordinului, descreşte 2ˆln wpσ , în timp ce termenul

2p/N creşte. 3- O formă alternativă pentru criteriul AIC este criteriul care minimizează lungimea de descriere (MDL) (Minimize Description Length)

2ˆ( ) ln lnwpMDL p N p Nσ= + (5.155)

4- Criteriul de transfer autoregresiv (CAT) (Criterion Aotoregresive Transfer)

2 21

1( )ˆ ˆ

p

k wk wp

N k N pCAT pN N Nσ σ=

− −= −∑ (5.156)

Ordinul p se alege să minimizeze cantitatea CAT(p). Exemple privind alegerea ordinului şi influenţa ordinului

asupra estimatului spectrului de putere sunt prezentate în paragraful 5.3.9. Trebuie precizat faptul că pentru aplicarea criteriilor prezentate, din date trebuie înlăturată valoarea medie. În general, ordinul modelului depinde de criteriul folosit. Criteriul de selecţie al ordinului nu conduce totdeauna la rezultate definitive. În absenţa oricărei informaţii asupra procesului care are ca rezultat datele observate, trebuie încercate diferite ordine pentru model şi diferite criterii, care, însă, pot conduce la rezultate diferite.

5.3.7. Estimarea spectrului de putere pe baza modelului cu medie alunecătoare (MA)

În modelul MA(q), pentru datele observate, legătura dintre secvenţa de autocorelaţie γxx[m] şi parametrii MA ai modelului este dată de sistemul de ecuaţii

Page 631: Prelucrarea digitala a semnalelor

338

2

0

*

0

( ) 0[ ] 0

q

w k k mk

xx

xx

b b m q

m m qm m

σ

γγ

+=

⎧ ≤ ≤⎪⎪

= >⎨⎪ − <⎪⎩

∑ (5.157)

obţinut din (5.125), prin impunerea ak = 0, pentru k = 1,2,…,p şi înlocuirea h[k] cu bk.

Pentru modelul considerat, din (5.124) rezultă 2 1 2 1( ) ( ) ( ) ( ) ( )xx w wz H z H z B z B zσ σ− −Γ = = (5.158)

Ţinând cont că

1( ) ( ) ( )q

mm

m qB z B z D z d z− −

=−

= = ∑ (5.159)

unde coeficienţii dm sunt legaţi de parametrii MA prin relaţia

0,

q m

m k k mk

d b b m q−

+=

= ≤∑ (5.160)

rezultă atunci

2

[ ]0

w mxx

d m qm

m qσ

γ⎧ ≤⎪= ⎨ >⎪⎩

(5.161)

Spectrul de putere pentru procesul MA(q) este

2 2 2( ) [ ]q q

MA j fm j fmxx xx w m

m q m qf m e d eπ πγ σ− −

=− =−

Γ = =∑ ∑ (5.162)

Se observă că nu este necesar a determina parametrii MA pentru a estima spectrul de putere, ci sunt suficienţi estimaţii secvenţei de autocorelaţie γxx[m] pentru m q≤ , adică

2( ) [ ]q

MA j fmxx xx

m qP f r m e π−

=−

= ∑ , (5.163)

exact ca estimatul spectrului de putere neparametric.

Page 632: Prelucrarea digitala a semnalelor

339

Deoarece [ ] 0xx mγ = pentru m q> , spectrul are aceeaşi

formă ca şi periodograma estimată. Ordinul procesului MA se determină, de obicei, empiric. De exemplu, criteriul AIC pentru modelul MA are aceeaşi formă ca pentru modelul AR

2ˆ( ) ln 2 /wqAIC q q Nσ= + (5.164)

unde 2ˆwqσ este un estimat al dispersiei zgomotului alb.

Un alt mod de a verifica modelul este de a filtra datele prin inversul modelului MA(q) şi de a testa dacă ieşirea se apropie de zgomotul alb. De asemenea, se poate urmări dacă valoarea estimaţilor nedeplasaţi ai secvenţei de autocorelaţie sunt apropiaţi de zero pentru deplasări mari. Dacă nu se întâmplă astfel, modelul MA va avea rezultate slabe referitor la rezoluţia în frecvenţă şi va fi abandonat în favoarea modelului AR sau ARMA.

12.3.8. Estimarea spectrului de putere pentru semnale modelate ARMA Algoritmul Burg şi variantele sale, precum şi metoda celor

mai mici pătrate descrise anterior, furnizează estimaţi ai spectrului de putere robuşti, de rezoluţie ridicată, pe baza modelului AR. Modelul ARMA oferă posibilitatea îmbunătăţirii estimatului spectrului AR, prin folosirea a mai puţini parametri pentru sistem. Modelul ARMA este potrivit în special când datele sunt afectate de zgomot, deoarece în acest caz semnalul rezultant conduce la un proces ARMA. Într-adevăr, se presupune că datele x[n] sunt generate de un sistem AR, a cărui ieşire este afectată de zgomot alb, aditiv.

Transformata Z a funcţiei de autocorelaţie a semnalului rezultat poate fi exprimată ca

Page 633: Prelucrarea digitala a semnalelor

340

2 2 2 12

1 1

( ) ( )( )( ) ( ) ( ) ( )

w w nxx n

A z A zzA z A z A z A z

σ σ σσ−

− −

+Γ = + = (5.165)

unde 2nσ este dispersia zgomotului aditiv. Procesul x[n] este

ARMA(p,p), unde p este ordinul procesului. După cum s-a arătat, parametrii modelului ARMA sunt legaţi de secvenţa de autocorelaţie prin relaţia

1

2

1 0*

[ ] ( )

[ ] [ ] [ ] 0 ( )

[ ] 0 ( )

p

k xxk

p q m

xx k xx w k mk k

xx

a m k m q a

m a m k h k b m q b

m m c

γ

γ γ σ

γ

=

+= =

⎧− − >⎪⎪⎪= − − + ≤ ≤⎨⎪⎪ − <⎪⎩

∑ ∑ (5.166)

Pentru deplasări m q> , ecuaţia implică numai parametrii

ak. Cu estimaţii funcţiei de autocorelaţie înlocuiţi în locul lui γxx[m], se pot rezolva cele p ecuaţii din (5.166a) pentru a afla ˆ ka . Pentru modele de ordin superior, este posibil ca această abordare să conducă la estimaţi modeşti pentru parametrii AR, motiv pentru care aceasta nu este recomandată. O metodă mult mai demnă de încredere este de a construi un sistem de ecuaţii liniare cu mai multe ecuaţii decât necunoscute pentru m > q şi a folosi metoda celor mai mici pătrate în optimizarea coeficienţilor modelului. Pentru a detalia, se presupune că secvenţa de autocorelaţie poate fi estimată fidel până la deplasarea M, unde M>p+q. În acest caz, se poate scrie

1

ˆ [ ] [ ]p

xx k xxk

r m a r m k=

= − −∑ ,

1, 2,...,m q q M N= + + < , M>p+q (5.167)

Page 634: Prelucrarea digitala a semnalelor

341

Parametrii ak se selectează astfel încât să minimizeze eroarea pătratică

2

2

1 1 1[ ] [ ] [ ]

pM M

xx k xxm q m q k

e n r m a r m kξ= + = + =

= = + −∑ ∑ ∑ (5.168)

Minimizarea lui ξ conduce la setul de ecuaţii liniare pentru parametrii ak

1

2

[ ] [ 1] ... [ 1] [ 1][ 1] [ ] ... [ 2] [ 2]

.................. ............. ... ..................... ........

[ 1] [ 2] ... [ ] [ ]

xx xx xx xx

xx xx xx xx

pxx xx xx xx

ar q r q r q p r qar q r q r q p r q

ar M r M r M p r M

− − + +⎡ ⎤⎡ ⎤ ⎡⎢ ⎥⎢ ⎥+ − + +⎢ ⎥⎢ ⎥ = −⎢ ⎥⎢ ⎥⎢ ⎥⎢ ⎥− − −⎣ ⎦ ⎣⎣ ⎦

⎤⎢ ⎥⎢ ⎥⎢ ⎥⎢ ⎥

(5.169) Această relaţie poate fi scrisă matriceal în forma

[ ][ ] [ ]xx xxR a r= − (5.169’) unde

[ ] [ 1] ... [ 1][ 1] [ ] ... [ 2]

[ ].............. ............. ... .....................

[ 1] [ 2] ... [ ]

xx xx xx

xx xx xxxx

xx xx xx

r q r q r q pr q r q r q p

R

r M r M r M p

− − +⎡ ⎤⎢ ⎥+ − +⎢ ⎥=⎢ ⎥⎢ ⎥− − −⎣ ⎦

1

2

[ 1][ 2]

[ ] , [ ]... ........

[ ]

xx

xxxx

p xx

a r qa r q

a r

a r M

+⎡ ⎤ ⎡ ⎤⎢ ⎥ ⎢ ⎥+⎢ ⎥ ⎢ ⎥= = −⎢ ⎥ ⎢ ⎥⎢ ⎥ ⎢ ⎥

⎣ ⎦⎣ ⎦

Deoarece [ ]xxR este o matrice de dimensiune (M-q) x p şi (M-q) >p, vectorul coeficienţilor estimaţi se obţine cu relaţia

1ˆ[ ] ([ ][ ]) [ ][ ]t txx xx xx xxa R R R r−= − (5.170)

Procedura se numeşte metoda Yule-Walker modificată a celor mai mici pătrate.

Page 635: Prelucrarea digitala a semnalelor

342

Secvenţei de autocorelaţie i se poate aplica o fereastră de ponderare, pentru a scădea ponderea estimaţilor mai puţin demni de încredere pentru deplasări mari. Odată estimaţi parametrii părţii AR ai modelului, se poate construi sistemul a cărui funcţie de sistem este

1

ˆ ˆ( ) 1p

kk

kA z a z−

=

= +∑ (5.171)

Secvenţa x[n] poate fi apoi filtrată prin filtrul de tip FIR, cu funcţia de sistem ˆ( )A z , obţinându-se secvenţa

1

ˆ[ ] [ ] [ ]p

kk

v n x n a x n k=

= + −∑ , 0,1,2,..., 1n N= − (5.172)

Cascada dintre modelul ARMA(p,q) şi modelul ˆ ( )A z este aproximativ procesul MA(q) generat de modelul B(z). Astfel se poate folosi estimatul MA pentru a obţine spectrul MA. În particular, secvenţa filtrată v[n] pentru 1p n N≤ ≤ − este folosită pentru a forma secvenţa de corelaţie estimată rvv[m], din care se obţine spectrul MA

2( ) [ ]q

MA j fmvv vv

m qP f r m e π−

=−

= ∑ (5.173)

Se observă că parametrii bk nu sunt necesari în determinarea spectrului de putere şi că rvv[m] este un estimat al autocorelaţiei pentru modelul MA din (5.157).

În formarea estimatului rvv[m] se poate folosi ponderarea cu o fereastră Bartlett, pentru dezaccentuarea estimaţilor corelaţiei pentru deplasări mari.

În final rezultă

22

1

( )ˆ ( )ˆ1

MAARMA vv

xx pj fk

kk

P fP fa e π−

=

=+∑

(5.174)

Page 636: Prelucrarea digitala a semnalelor

343

Problema selecţiei ordinului modelului ARMA(p,q) se rezolvă prin minimizarea indicelui AIC [62].

2 2( )ˆ( , ) ln wpq

p qAIC p qN

σ += + (5.175)

unde 2ˆwpqσ este un estimat al dispersiei erorii zgomotului alb aplicat

la intrarea modelului. Un test suplimentar asupra adecvării unui model particular ARMA(p,q) este de a filtra datele prin model şi de a testa dacă la ieşire se furnizează o secvenţă de zgomot alb. Aceasta ar putea necesita ca parametrii modelului MA, să fie calculaţi din secvenţa de autocorelaţie estimată, folosind factorizarea spectrală pentru a determina B(z) din

1( ) ( ) ( )D z B z B z−= . 5.3.9. Rezultate experimentale În acest paragraf sunt prezentate câteva rezultate experimentale privind performanţele estimaţilor AR şi ARMA ai spectrelor de putere, folosind date generale artificial. Scopul acestor simulări constă în compararea metodelor de estimare spectrală, din punct de vedere al rezoluţiei de frecvenţă, deplasării şi robusteţii în prezenţa zgomotului aditiv. În aceste experimente, datele sunt compuse din una sau două sinosoide şi zgomot aditiv. Cele două sinusoide sunt distanţate în frecvenţă cu fΔ . În acest caz, procesul real este de tip ARMA(4,4). În experimente se foloseşte un model AR(p). Pentru raporturi semnal/zgomot mari, este de aşteptat ca modelul AR(4) să fie adecvat. Pentru raporturi semnal/zgomot scăzute este necesar un model AR de ordin mai mare pentru a aproxima procesul ARMA (4,4). Rezultatele experimentale sunt în concordanţă cu aceste aspecte. Raportul semnal/zgomot se defineşte

Page 637: Prelucrarea digitala a semnalelor

344

ca 2 21010log / 2SNR A σ= , unde 2σ este dispersia zgomotului

aditiv, considerat alb, iar A, amplitudinea sinusoidei. Frecvenţa sinusoidelor componente ale semnalului, nivelul zgomotului, faza iniţială şi lungimea datelor sunt trecute pe fiecare grafic. În figura 5.8 sunt prezentaţi estimaţii spectrului de putere obţinuţi prin metodele Yule-Walker, Burg şi a celor mai mici pătrate (LS), pentru o lungime a datelor de N=20, SNR=20dB şi 0,13fΔ = . Se observă că metoda Yule-Walker furnizează un estimat puternic netezit, cu vârfuri mici. Dacă distanţarea în frecvenţă descreşte la 0,07fΔ = , metoda Yule-Walker nu mai poate decela între cele două vârfuri, situaţie ilustrată în figura 5.9. De asemenea, se observă o deplasare în cazul metodei Burg. Prin creşterea lungimii datelor, metoda Yule-Walker poate decide prezenţa celor două componente spectrale. Din compararea acestor trei metode se remarcă faptul că metodele Burg şi a celor mai mici pătrate sunt superioare pentru înregistrări de lungime mică.

Fig. 5.8. Comparaţie între metodele AR de estimare spectrală

Page 638: Prelucrarea digitala a semnalelor

345

Fig. 5.9. Comparaţie între metodele AR de estimare spectrală

Efectul zgomotului aditiv asupra estimaţilor este ilustrat în figura 5.10 pentru metoda celor mai mici pătrate.

Fig. 5.10. Efectul zgomotului aditiv asupra estimatului prin metoda LS

Page 639: Prelucrarea digitala a semnalelor

346

Efectul ordinului filtrului asupra metodelor Burg şi LS este prezentat în figurile 5.11, respectiv 5.12. Ambele metode arată vârfuri false când ordinul filtrului este crescut la p=12.

Fig. 5.11. Efectul ordinului filtrului asupra metodei Burg

Fig. 5.12. Efectul ordinului filtrului asupra metodei LS

Page 640: Prelucrarea digitala a semnalelor

347

Efectul fazei iniţiale este ilustrat în figurile 5.13 şi 5.14 pentru metoda Burg şi, respectiv, metoda LS. Se observă că metoda LS este mai puţin senzitivă la faza iniţială decât metoda Burg.

Fig. 5.13. Efectul fazei iniţiale asupra metodei Burg

Fig. 5.14. Efectul fazei iniţiale asupra metodei LS

Page 641: Prelucrarea digitala a semnalelor

348

În figura 5.15 este arătată scindarea liniilor spectrale în cazul metodei Burg, pentru p=12. Se observă că pentru un model de ordinul 8, acest lucru nu se produce. Metoda LS nu prezintă scindarea liniilor spectrale pentru aceleaşi condiţii folosite în simularea precedentă. Această scindare din cazul metodei Burg dispare cu creşterea lungimii datelor.

Fig. 5.15. Scindarea liniilor spectrale în metoda Burg

În figurile 5. 16 şi 5. 17 sunt prezentate proprietăţile de rezoluţie ale metodelor Burg şi LS pentru 0,07fΔ = şi 20N = , pentru un SNR scăzut (3dB). Deoarece procesul care conţine zgomot aditiv este ARMA, este necesat un model AR de ordin înalt pentru o aproximare adecvată la SNR scăzut. Se observă că rezoluţia de frecvenţă se îmbunătăţeşte cu creşterea ordinului.

Page 642: Prelucrarea digitala a semnalelor

349

Fig. 5.16. Rezoluţia de frecvenţă în metoda Burg cu N=20

Fig. 5.17. Rezoluţia de frecvenţă în metoda LS cu N=20

În figura 5.18 se prezintă eroarea de predicţie finală pentru metoda Burg şi un SNR =3dB. Pentru această valoare a raportului

Page 643: Prelucrarea digitala a semnalelor

350

semnal /zgomot, valoarea optimă a ordinului modelului este p=12, conform criteriului erorii de predicţie finale (FPE).

Fig. 5.18. Eroarea de predicţie finală pentru estimatul Burg

În figura 5.19 este prezentat estimatul spectrului de putere pentru două sinusoide neafectate de zgomot, folosind un model ARMA(10,10).

Fig. 5.19. Estimatul spectrului de putere pentru două sinusoide neafectate de

zgomot, folosind modelul ARMA (10,10)

Page 644: Prelucrarea digitala a semnalelor

351

În figura 5.20 este prezentat estimatul spectrului de putere pentru două sinusoide afectate de zgomot, folosind un model ARMA(10,10). Se observă calitatea bună a estimaţilor obţinuţi prin această metodă. Condiţiile în care au fost obţinuţi aceşti estimaţi sunt prezentate în figură.

Fig. 5.20. Estimatul spectrului de putere pentru două sinusoide în zgomot,

folosind modelul ARMA (10,10)

5.4. Probleme rezolvate 1. Fie procesul AR(3) generat de ecuaţia cu diferenţe

14 9 1[ ] [ 1] [ 2] [ 3] [ ]24 24 24

x n x n x n x n w n= − + − − − +

unde w[n] este zgomot alb de dispersie 2wσ

a) Să se determine coeficienţii predictorului liniar optim pentru 3p = . b) Să se determine funcţia de autocorelaţie [ ], 0 5.xx m mγ ≤ ≤ c) Să se determine coeficienţii de reflexie corespunzători predictorului liniar anterior.

Page 645: Prelucrarea digitala a semnalelor

352

Soluţie

a)1 2 3

1( ) 14 9 1124 24 24

H zz z z− − −

=− − +

1 2 33

14 9 1( ) 124 24 24

A z z z z− − −= − − +

Coeficienţii predictorului optim sunt:

3 3 3 314 9 1[0] 1; [1] ; [2] ; [3]24 24 24

a a a a= = − = − =

b) Particularizând relaţia (5.131) pentru datele problemei, rezultă sistemul

214 9 1[0] [1] [2] [3]24 24 24

14 15 1[0] [1] [2] 024 24 249 13[0] [1] [2] 024 24

1 9 14[0] [1] [2] [3] 024 24 24

xx xx xx xx w

xx xx xx

xx xx xx

xx xx xx xx

γ γ γ γ σ

γ γ γ

γ γ γ

γ γ γ γ

⎧ − − + =⎪⎪⎪− + + =⎪⎨⎪ − − + =⎪⎪⎪ − − + =⎩

cu soluţia: 2 2 2 2[0] 1,47 ; [1] 1,29 ; [2] 1,25 ; [3] 1,15 .xx w xx w xx w xx wγ σ γ σ γ σ γ σ= = = =

Pentru a determina valorile funcţiei de autocorelaţie pentru 3m > , se foloseşte relaţia

3

1[ ] [ ]xx k xx

km a m kγ γ

=

= − −∑

de unde rezultă [4] 1,091; [5] 0,964.xx xxγ γ= = c) Coeficienţii de reflexie se determină cu relaţia

[ ],1m mK a m m p= ≤ ≤ , unde [ ]ma m se determină din polinoamele

Page 646: Prelucrarea digitala a semnalelor

353

corespunzătoare structurii lattice cu m trepte.

1 2

( ) ( )( ) , 3,2,1.1

m m mm

m

A z K B zA z mK−

−= =

3 3[3] 0,042K a= =

1 2 33

1 2 33

14 9 1( ) 124 24 24

1 9 14( )24 24 24

A z z z z

B z z z z

− − −

− − −

= − − +

= − − +

1 22 2 2

1 22

327 202 202( ) 1 [2] 0,351575 575 575

202 327( )575 575

A z z z K a

B z z z

− −

− −

= + − → = = − =

= − + +

11 1 1( ) 1 0,452 [1] 0,452A z z K a−= + → = = .

2. Secvenţa de autocorelaţie a unui proces aleator este

1, 00,5, 1

[ ]0,625, 20,6875, 3

xx

mm

mm

m

γ

=⎧⎪ − = ±⎪= ⎨ = ±⎪⎪− = ±⎩

Să se determine funcţiile de sistem ( )mA z pentru filtrele erorii de predicţie pentru 1,2,3m = , coeficienţii de reflexie mK şi erorile pătratice medii de predicţie corespunzătoare. Soluţie

Particularizând relaţiile (5.129) pentru datele problemei, rezultă

1

2

3

[0] [1] [2] [1][ 1] [0] [1] [2][ 2] [ 1] [0] [3]

xx xx xx xx

xx xx xx xx

xx xx xx xx

aaa

γ γ γ γγ γ γ γγ γ γ γ

⎡ ⎤ ⎡ ⎤ ⎡ ⎤⎢ ⎥ ⎢ ⎥ ⎢ ⎥− = − ⇔⎢ ⎥ ⎢ ⎥ ⎢ ⎥⎢ ⎥ ⎢ ⎥ ⎢ ⎥− −⎣ ⎦ ⎣ ⎦ ⎣ ⎦

Page 647: Prelucrarea digitala a semnalelor

354

1

2

3

1 0,5 0,625 0,50,5 1 0,5 0,625

0,625 0,5 1 0,6875

aaa

− −⎡ ⎤⎡ ⎤ ⎡ ⎤⎢ ⎥⎢ ⎥ ⎢ ⎥− − = −⎢ ⎥⎢ ⎥ ⎢ ⎥⎢ ⎥⎢ ⎥ ⎢ ⎥− −⎣ ⎦ ⎣ ⎦⎣ ⎦

cu soluţia

3 3 33 1[1] 0; [2] ; [3]8 2

a a a= = − = .

2 33

3 1( ) 18 2

A z z z− −= − + , 3 31[3]2

K a= =

Funcţiile de sistem ale predictorului de ordin inferior se determină recursiv din relaţia

1 2

( ) ( )( ) , 3,2,11

m m mm

m

A z K B zA z mK−

−= =

−,

care conduce la soluţiile

1 22

1 1( ) 14 2

A z z z− −= + − , 2 21[2]2

K a= = −

11

1( ) 12

A z z−= + , 1 11[1]2

K a= =

Eroarea de predicţie a predictorului cu m trepte se determină cu relaţia 2

1(1 )f fm m mE E K−= − , cu 0 [0]f

xxE γ= . Rezultă atunci:

2

21 0 1

1 3(1 ) [0] 12 4

f fxxE E K γ

⎛ ⎞⎛ ⎞= − = − =⎜ ⎟⎜ ⎟⎜ ⎟⎝ ⎠⎝ ⎠

2 2 22 1 2 1 2

2 2

(1 ) [0](1 )(1 )

1 1 91 12 2 16

f fxxE E K K Kγ= − = − − =

⎛ ⎞⎛ ⎞⎛ ⎞ ⎛ ⎞= − − =⎜ ⎟⎜ ⎟⎜ ⎟ ⎜ ⎟⎜ ⎟⎜ ⎟⎝ ⎠ ⎝ ⎠⎝ ⎠⎝ ⎠

Page 648: Prelucrarea digitala a semnalelor

355

2 2 2 23 2 3 1 2 3

2 2 2

(1 ) [0](1 )(1 )(1 )

1 1 1 271 1 12 2 2 64

f fxxE E K K K Kγ= − = − − − =

⎛ ⎞⎛ ⎞⎛ ⎞⎛ ⎞ ⎛ ⎞ ⎛ ⎞= − − − =⎜ ⎟⎜ ⎟⎜ ⎟⎜ ⎟ ⎜ ⎟ ⎜ ⎟⎜ ⎟⎜ ⎟⎜ ⎟⎝ ⎠ ⎝ ⎠ ⎝ ⎠⎝ ⎠⎝ ⎠⎝ ⎠

3. a) Să se determine spectrele de putere pentru procesele aleatoare generate de următoarele ecuaţii cu diferenţe: 1) [ ] 0,81 [ 2] [ ] [ 1]x n x n w n w n= − − + + − 2) [ ] [ ] [ 2]x n w n w n= − − 3) [ ] 0,81 [ 2] [ ]x n x n w n= − − + b) pentru procesele (2) şi (3), să se determine funcţiile de autocorelaţie. Soluţie

a1. 1

2

1( )1 0,81

zH zz

−=

+

( )( )( )( )

12 1 2

2 2

12

2 2

1 1( ) ( ) ( )

1 0,81 1 0,81

2 ( )1,6561 0,81( )

xx w w

w

z zz H z H z

z z

z zz z

σ σ

σ

−−

− −Γ = = =

+ +

− +=

+ +

Evaluând ( )xx zΓ pe cercul unitate, se obţine

2 2(1 cos 2 )( )1,6561 1,62 cos 4xx w

fff

πσπ

−Γ =

+ ⋅

a2. 2( ) 1H z z−= − 2 1 2 2 2 2 2 2( ) ( ) ( ) (1 )(1 ) (2 )xx w w wz H z H z z z z zσ σ σ− − −Γ = = − − = − −

Evaluând ( )xx zΓ pe cercul unitate, se obţine 2( ) (2 2cos 4 )xx wf fσ πΓ = −

Page 649: Prelucrarea digitala a semnalelor

356

a3. 2

1( )1 0,81

H zz−=

+

( )( )2 1 2

2 2

22 2

1( ) ( ) ( )1 0,81 1 0,81

11,6561 0,81( )

xx w w

w

z H z H zz z

z z

σ σ

σ

−−

Γ = = =+ +

=+ +

Evaluând ( )xx zΓ pe cercul unitate, se obţine

2 1( )1,6561 1,62 cos 4xx wf

πΓ =

+ ⋅

b2.

( )

1 1 2 2 2

2

[ ] ( ) (2 )

[ 2] 2 [ ] [ 2]xx xx w

w

m Z z Z z z

n n n

γ σ

σ δ δ δ

− − −= Γ = − − =

= − + + − −

b3.

( )( )

( )( )( )( )

1 1 22 2

2 11 1

2 | |

1[ ] ( )1 0,81 1 0,81

11 0,9 1 0,9 1 0,9 1 0,9

2,9 (0,9) cos2

xx xx w

w

nw

m Z z Zz z

Zj z j z j z j z

n

γ σ

σ

πσ

− −−

−− −

⎧ ⎫⎪ ⎪= Γ = =⎨ ⎬+ +⎪ ⎪⎩ ⎭

⎧ ⎫⎪ ⎪ =⎨ ⎬+ − + −⎪ ⎪⎩ ⎭

= ⋅ ⋅

4. Să se arate că un filtru trece tot, cu funcţia de sistem

*

1

1( ) , | | 1N

ii

i i

zzH z zz z=

−= <

−∏ , are proprietatea că

| ( ) | 1, pentru | | 1| ( ) | 1, pentru | | 1| ( ) | 1, pentru | | 1

H z zH z zH z z

> << >= =

(p4.1)

Page 650: Prelucrarea digitala a semnalelor

357

Soluţie Exprimând z şi *

iz în forma polară ,j ji iz r e z r eω ω= ⋅ = ⋅ ,

pentru fiecare factor al produsului din enunţ se poate scrie

1/ 2( )* 2 2

2 2

1 1 1 2 cos( )| ( ) |2 cos( )

i

i

ji i i i i

i jji i i i

zz rre r r rrH zz z re re r r rr

ω ω

ωω

ω ωω ω

− ⎛ ⎞− − + − −= = = ⎜ ⎟− − + − −⎝ ⎠

Ţinând cont că 1ir < , rezultă

| ( ) | 1, pentru | | 1| ( ) | 1, pentru | | 1| ( ) | 1, pentru | | 1

i

i

i

H z zH z zH z z

> <

< >

= =

Prin înmulţirea factorilor ( )iH z , rezultă relaţia (p4.1). 5. Să se arate că dacă coeficienţii de reflexie | | 1mK < pentru toţi m p≤ , atunci ,| | 1p iz < pentru toţi i p≤ , unde ,p iz sunt

rădăcinile polinomului 1

( ) 1 [ ]p

kp p

k

A z a k z−

=

= +∑ .

Soluţie Se foloseşte metoda inducţiei. Pentru 1p = , dacă 1| | 1K < ,

polinomul 1 11 1 1( ) 1 [1] 1A z a z K z− −= + = + are rădăcina 1,1 1z K= − ,

deci, într-adevăr, 1,1| | 1z < .

În continuare, se presupune că dacă | | 1mK < pentru toţi 1m p≤ − , 1,| | 1p jz − < pentru toţi 1j p≤ − , unde 1,p jz − sunt

rădăcinile polinomului 1

11

( ) 1 [ ]p

kp p

kA z a k z

−−

−=

= +∑ şi se arată că

,| | 1p iz < .

Între polinoamele 1( )pA z− şi ( )pA z există relaţia recursivă 1

1 1( ) ( ) ( )pp p p pA z A z K z A z− −

− −= + (p5.1)

Page 651: Prelucrarea digitala a semnalelor

358

,p iz este o rădăcină a polinomului ( )pA z , astfel încât înlocuind

această rădăcină în (p5.1), rezultă 1

, 1 , , 1 ,( ) ( ) ( ) 0pp p i p p i p p i p p iA z A z K z A z− −

− −= + = (p5.2)

Expresia 1

11

1

( )( )

( )

pp

pp

z A zQ z

A z

− −−

−−

= (p5.3)

este de tip trece tot. Din (p5.2) rezultă că expresia 1

, 1 ,1 ,

1 ,

( )1 ( )( )

pp i p p i

p p ip p p i

z A zQ z

K A z

− −−

−−

− = = (p5.4)

care caracterizează un sistem de tip trece tot. Deoarece | | 1pK < ,

rezultă 1 ,1( ) 1p p i

p

Q zK− = > . Ţinând cont de rezultatul din problema

4, rezultă ,| | 1p iz < .

6.Dacă ,| | 1p iz < pentru toţi i p≤ , atunci

| | 1mK < (p6.1) pentru toţi m p≤ , unde ,p iz sunt rădăcinile polinomului

1

( ) 1 [ ]p

kp p

k

A z a k z−

=

= +∑ , iar mK sunt coeficienţii de reflexie

corespunzători polinomului ( )pA z .

Soluţie Produsul rădăcinilor polinomului ( )pA z este egal cu [ ]pa p ,

adică ,1 ,2 ,[ ] ...p p p p p pK a p z z z= = ⋅ ⋅ ⋅ . Cum modulul tuturor polilor

este subunitar, rezultă | | 1pK < , adică relaţia (p6.1) este adevărată

pentru m p= .

Page 652: Prelucrarea digitala a semnalelor

359

Pentru a arăta că relaţia (p6.1) este adevărată pentru 1m p= − , este suficient a arăta că 1,| | 1p jz − < pentru 1j p≤ − .

Pentru aceasta se formează funcţiile trece tot

1( )

( )( )

pp

pp

z A zQ z

A z

− −

=

De asemenea, se foloseşte relaţia de recurenţă 1

1 2

( ) ( )( )

1

pp p p

pp

A z K z A zA z

K

− −

−=

− (p6.2)

Deoarece 1 1,( ) 0p p jA z− − = , din (p6.2) rezultă

21, 1,( ) ( ) 0p

p p j p p p jA z K z A z−− −− = , adică

1

1, 1,1,

1,

( ) 1( ) 1( ) | |

pp j p p j

p p jp p j p

z A zQ z

A z K

− −− −

−−

= = > , deci 1,| | 1p jz − < şi

1 1 1,1 1,1 1, 1| | | [ 1] | | ... | 1p p p p p pK a p z z z− − − − − −= − = ⋅ ⋅ ⋅ < .

Continuând în acelaşi mod, se decide că | | 1mK < pentru toţi m p≤ . 7. Dacă | | 1mK < pentru toţi 1m p≤ − şi | | 1pK = , atunci

polinomul ( )pA z are toate rădăcinile pe cercul unitate, adică

,| | 1p iz = pentru toţi i p≤ .

Soluţie Din problema 6 rezultă că 1,| | 1p jz − < , deoarece | | 1kK <

pentru toţi 1m p≤ − . Expresia

1

11

1

( )( )

( )

pp

pp

z A zQ z

A z

− −−

−−

=

este de tip trece tot. Din (p5.2) rezultă că expresia

Page 653: Prelucrarea digitala a semnalelor

360

1, 1 ,

1 ,1 ,

( ) 1( ) 1( )

pp i p p i

p p ip p i p

z A zQ z

A z K

− −−

−−

= = = , ceea ce, conform relaţiei

(p4.1), conduce la concluzia că ,| | 1p iz = .

8. Dacă | | 1mK < pentru toţi 1m p≤ − şi | | 1pK > , atunci

polinomul ( )pA z are toate rădăcinile în exteriorul cercului unitate,

adică ,| | 1p iz > pentru toţi i p≤ .

Soluţie În acest caz

1, 1 ,

1 ,1 ,

( ) 1( ) 1( )

pp i p p i

p p ip p i p

z A zQ z

A z K

− −−

−−

= = < , ceea ce, conform relaţiei

(p4.1), conduce la concluzia că ,| | 1p iz > .

9. Un proces aleator staţionar AR(p) satisface ecuaţiile

2

1

, 0[ ] [ ] [ ]

0, 1

pw

xx p xxk

mm a k m k

m pσ

γ γ=

⎧ =+ − = ⎨

≤ ≤⎩∑

unde [ ]pa k sunt coeficienţii predictorului liniar de ordin p şi 2wσ

este eroarea pătratică medie minimă de predicţie. Dacă matricea de autocorelaţie

1

[0] [1] [ ][1] [0] [ 1]

[ ] [ 1] [0]

xx xx xx

xx xx xxp

xx xx xx

pp

p p

γ γ γγ γ γ

γ γ γ

+

⎡ ⎤⎢ ⎥−⎢ ⎥=⎢ ⎥⎢ ⎥−⎣ ⎦

Γ

……

… … ……

este pozitiv definită, să se arată că pentru 1 m p≤ ≤ , coeficienţii de reflexie satisfac relaţia | | 1mK < .

Page 654: Prelucrarea digitala a semnalelor

361

Soluţie Relaţia din enunţ poate fi scrisă matriceal sub forma

2[0][0] [1] [ ][1][1] [0] [ 1] 0

[ ][ ] [ 1] [0] 0

pxx xx xx w

pxx xx xx

pxx xx xx

apap

a pp p

γ γ γ σγ γ γ

γ γ γ

⎡ ⎤ ⎡ ⎤⎡ ⎤⎢ ⎥ ⎢ ⎥⎢ ⎥− ⎢ ⎥ ⎢ ⎥⎢ ⎥ ⋅ =⎢ ⎥ ⎢ ⎥⎢ ⎥⎢ ⎥ ⎢ ⎥⎢ ⎥−⎣ ⎦ ⎣ ⎦⎣ ⎦

……

…… … … ……

Din acest sistem de ecuaţii, rezultă

1

2

[0] p

p

wpa

σ

+

Γ

Γ

Δ=

Δ, unde

pΓΔ este deternminantul matricei pΓ , iar

1p+ΓΔ , determinantul matricei 1p+Γ .

Dar [0] 1pa = , fapt ce conduce la 12 p

p

wσ +Γ

Γ

Δ=

Δ. Cum matricea

de autocorelaţie este pozitiv definită, ambii determinanţi din relaţia precedentă sunt pozitivi, de unde rezultă că 2 0wσ > . Folosind

recursiv relaţia (3.83), rezultă 2 2

1(1 ) [0] 0

p

w m xxm

Kσ γ=

= − >∏ , unde mK

reprezintă coeficienţii lattice corespunzători predictorului liniar. Relaţia precedentă implică | | 1mK < .

Page 655: Prelucrarea digitala a semnalelor

171

CAPITOLUL 3

PREDICŢIE LINIARĂ ŞI FILTRARE LINIARĂ OPTIMALĂ

Proiectarea filtrelor pentru estimarea semnalelor este o problemă ce apare frecvent in proiectarea sistemelor de comunicaţii, de control şi alte aplicaţii. În acest capitol problema proiectării filtrelor optimale va fi abordată din punct de vedere statistic. Pentru simplitatea tratării, filtrele se impun a fi liniare iar criteriul de optimizare se bazează pe minimizarea erorii pătratice medii. Drept consecinţă, în determinarea filtrelor optimale este necesară numai statistica de ordinul doi (funcţiile de autocorelaţie şi corelaţie) ale procesului presupus staţionar. De asemenea, se va urmări proiectarea filtrelor optimale pentru predicţia liniară care este un domeniu important în procesarea de semnal cu aplicaţii în domenii diverse ca: -procesarea semnalului vocal; -procesarea de imagini; -suprimarea zgomotului in sistemele de comunicaţii etc.

3.1. Predicţie înainte (forward) Fie [ ]x n un proces aleator staţionar. Se doreşte estimarea valorii procesului la un moment dat, pe baza unui număr finit p de

Page 656: Prelucrarea digitala a semnalelor

172

observaţii (eşantioane) consecutive anterioare. În cazul general, valoarea estimată se notează

[ ]ˆ[ ] x

pM n rx n

−,

unde [ ] [ 1],..., [ ]xpM n r x n r p x n r− = − − + − , 1r > , reprezintă

vectorul format din p eşantioane consecutive anterioare momentului n-r, inclusiv. În acest caz, se spune că s-a realizat predicţia înainte cu r paşi de ordinul p a eşantionului [ ]x n . Un interes special prezintă predictorul liniar înainte cu un pas (r=1), care determină valoarea estimată [ ]x n ca o combinaţie

liniară ponderată a ultimelor p valori: [ ]1x n − , [ ]2x n − ,.., [ ]x n p− .

Valoarea estimată se determină cu relaţia

[ ] [ ] [ ]1

ˆp

pk

x n a k x n k=

= − −∑ (3.1)

unde [ ] pa k− reprezintă ponderile combinaţiei liniare, numite

coeficienţi de predicţie ai predictorului înainte cu un pas, de ordin p .

Conform relaţiei (3.1), schema predictorului liniar cu un pas, de ordinul p este dată în figura 3.1.

Fig. 3.1. Predictor liniar cu un pas, de ordin p

Diferenţa dintre valoarea [ ]x n şi cea predictată [ ]x n se

numeşte eroare de predicţie înainte şi se notează [ ]pf n .

[ ] [ ] [ ] [ ] [ ] [ ]1

ˆp

p pk

f n x n x n x n a k x n k=

= − = + −∑ (3.2)

Page 657: Prelucrarea digitala a semnalelor

173

Pe baza relaţiei (3.2), eroarea de predicţie rezultă conform schemei din figura 3.2.

Fig. 3.2. Legătura dintre predictorul liniar înainte şi filtrul erorii de predicţie

Structura din figura 3.2 se mai numeşte filtrul erorii de predicţie, cu intrarea [ ]x n şi ieşirea [ ]pf n . O realizare echivalentă

pentru filtrul erorii de predicţie este prezentată în figura 3.3, care reprezintă o realizare în formă directă a unui filtru FIR cu funcţia de sistem:

( ) [ ]0

pk

p pk

A z a k z−

=

= ∑ (3.3)

unde [ ]0 1pa = .

Figura 3.3. Filtrul erorii de predicţie

Eoarea pătratică medie de predicţie liniară înainte este

[ ] [ ] [ ] [ ] [ ]2

0 0

p pf

p p i p i p ik l

E f n E a k x n k a l x n lξ= =

⎡ ⎤⎡ ⎤= = − − =⎢ ⎥⎣ ⎦ ⎣ ⎦∑ ∑

[ ] [ ] [ ] [ ] [ ] [ ]... ...i p i i p iE x n a p x n p x n a p x n p⎡ ⎤ ⎡ ⎤= + + − + + − =⎣ ⎦ ⎣ ⎦

Page 658: Prelucrarea digitala a semnalelor

174

[ ] [ ] [ ] [ ]

[ ] [ ] [ ] [ ]

[ ] [ ] [ ] [ ] [ ] [ ]

2

1

1 1

1 1 1

2

0 2

p

i p i ik

p p

p p i ik l

p p p

xx p xx p p xxk k l

E x n a k x n x n k

a k a l x n k x n l

a k k a k a l l kγ γ γ

=

= =

= = =

⎡= + − +⎢

⎣⎤

+ − − =⎥⎦

= + + −

∑∑

∑ ∑∑

(3.4)

Eroarea pătratică medie este o funcţie pătratică de coeficienţii filtrului predictor şi prezintă un extrem pentru valorile coeficienţilor pentru care

( )[ ]0

[ ]

fp p

p

a ka k

ξ∂=

∂ (3.5)

Înlocuind (3.4) în (3.5), rezultă

( )[ ][ ]

fp p

p

a ka k

ξ∂=

[ ] [ ] [ ] [ ] [ ] [ ]

[ ] [ ] [ ]

1 1 1

1

0 2[ ]

( 0 2[ ]

[1] [1] [0] ... [1] [ ] [ 1] ... [1] [ ] [ 1]

[ ] [1] [1 ] ... [ ] [ ] [0] ... [ ] [

p p p

xx p xx p p xxk k lp

p

xx p xxkp

p p xx p p xx p p xx

p p xx p p xx p p

a k k a k a l l ka k

a k ka k

a a a a k k a a p p

a k a k a k a k a k a

γ γ γ

γ γ

γ γ γ

γ γ

= = =

=

⎛ ⎞∂= + + − =⎜ ⎟∂ ⎝ ⎠

∂= + +∂

+ + − + + − +

− + + + +

∑ ∑∑

1

] [ ]

[ ] [1] [1 ] ... [ ] [ ] [ ] ... [ ] [ ] [0])

2 [ ] 2 [ ] [ ] 0

xx

p p xx p p xx p p xx

p

xx p xxl

p p k

a p a p a p a k k p a p a p

k a l k l

γ

γ γ γ

γ γ=

− +

− + + − + +

= + − =∑(3.6)

sau, echivalent,

Page 659: Prelucrarea digitala a semnalelor

175

[ ] [ ] [ ]1

, 1,...,p

xx p xxl

k a l k l k pγ γ=

= − − =∑ (3.7)

Extremul erorii pătratice medii care se atinge pentru valorile coeficienţilor care rezultă din relaţia (3.7), este un minim, deoarece

( )

( )

2

2

[ ][0] 0

[ ]

fp p

xx

p

a k

a k

ξγ

∂= >

∂.

Relaţiile (3.7) se numesc ecuaţiile normale şi stabilesc legătura între coeficienţii predictorului liniar şi valorile funcţiei de autocorelaţie. Înlocuind (3.7) în (3.4) se obţine eroarea pătratică medie minimă de predicţie, de forma

[ ] [ ] [ ].

1

min 0pnot

f fp p xx p xx

k

E a k kξ γ γ=

⎡ ⎤ = = +⎣ ⎦ ∑ (3.8)

3.2. Predicţie liniară înapoi (backward)

Estimarea eşantionului [ 1]x n p r− − + pe baza observaţiilor

[ ]xpM n se numeşte predicţie înapoi cu r paşi, de ordin p. În

continuare se tratează predicţia înapoi de ordinul p, cu un pas (r=1), când se presupune că se cunoaşte secvenţa de date [ ] [ ] [ ], 1 ,..., 1x n x n x n p− − + şi se doreşte a se estima valoarea

[ ]x n p− , adică

[ ] [ ] [ ]1

0

ˆp

pk

x n p b k x n k−

=

− = − −∑ (3.9)

Predictorul descris de relaţia (3.9) este reprezentat în figura 3.4.

Diferenţa dintre valoarea [ ]x n p− şi estimatul [ ]x n p− se

numeşte eroare de predicţie înapoi, şi este notată cu [ ]pg n .

Page 660: Prelucrarea digitala a semnalelor

176

Fig. 3.4. Predictor înapoi cu un pas, de ordinul p

[ ] [ ] [ ] [ ]

[ ] [ ] [ ]

1

0

0

, 1

p

p pk

p

p pk

g n x n p b k x n k

b k x n k b p

=

=

= − + − =

= − =

∑ (3.10)

Implementarea filtrului erorii de predicţie înapoi de ordinul p este prezentată în figura 3.5, care reprezintă o realizare în forma directă a unui filtru FIR cu funcţia de sistem:

( ) [ ]0

pk

p pk

B z b k z−

=

= ∑ (3.11)

unde [ ] 1pb p = .

Fig. 3.5. Filtrul erorii de predicţie înapoi

Eroarea de predicţie înapoi este

[ ] [ ] [ ] [ ]

[ ] [ ] [ ]

1

0

1

p

p pk

p

pm

g n x n p b k x n k

x n p b p m x n p m

=

=

= − + − =

= − + − − +

∑ (3.12)

Valoarea sa pătratică medie este

Page 661: Prelucrarea digitala a semnalelor

177

[ ] 2not

bp i pE g n ξ= (3.13)

[ ] [ ]

[ ] [ ] [ ]

[ ] [ ] [ ]

[ ] [ ] [ ]

2

1

1 1

1

1 1

[ ] 2 [ ]

[ ]

0 2

pbp i i p i

m

p p

p p i ik l

p

xx p xxm

p p

p p xxm l

E x n p x n p b p m x n p m

b p m b p l x n p m x n p l

b p m m

b p m b p l l m

ξ

γ γ

γ

=

= =

=

= =

⎧= − + − − − + +⎨

⎩⎫

+ − − − + − + =⎬⎭

= + − +

+ − − −

∑∑

∑∑

(3.14)

La fel ca în cazul predicţiei înainte, eroarea pătratică medie de predicţie înapoi este o funcţie pătratică de coeficienţii filtrului predictor. Valorile coeficienţilor pentru care aceasta prezintă un extrem, se obţin prin egalarea cu zero a derivatei sale în raport cu coeficienţii filtrului, adică

( )[ ]

0[ ]

bp p

p

b p mb p m

ξ∂ −=

∂ − (3.15)

Înlocuind (3.14) în (3.15), după prelucrări similare celor din cazul predicţiei înainte, rezultă sistemul de ecuaţii

[ ] [ ] [ ]1

, 1,...,p

xx p xxl

m b p l l m m pγ γ=

= − − − =∑ (3.16)

Extremul obţinut este un minim, deoarece

( )

( )

2

2

[ ][0] 0

[ ]

bp p

xx

p

b p m

b p m

ξγ

∂ −= >

∂ −

Înlocuind (3.16) în (3.14) se obţine acelaşi minim ca în cazul predicţiei înainte, adică

min b b fp p pE Eξ⎡ ⎤ = =⎣ ⎦ .

Page 662: Prelucrarea digitala a semnalelor

178

3.3. Structuri lattice pentru implementarea filtrelor FIR de eroare a predicţiei

Din cele prezentate până aici, s-a observat că erorile de predicţie înainte şi înapoi se obţin ca ieşiri ale unor filtre FIR cu funcţiile de sistem ( )pA z , respectiv ( )pB z , date de (3.3), respectiv

(3.11). Cum un filtru FIR implementat în forma directă este echivalent cu un filtru FIR lattice, filtrele erorii de predicţie în forma directă, reprezentate în figurile 3.3 şi 3.5, pot fi implementate în formă lattice. Pentru a stabili legătura dintre coeficienţii filtrului de predicţie şi coeficienţii structurii lattice, se consideră o familie de filtre FIR cu funcţiile de transfer

( ) ( )m mH z A z= m = 0, 1, 2, ..., p (3.17) unde ( )mA z este un polinom de forma

1

( ) 1 [ ] 1m

km m

kA z a k z m−

=

= + ≥∑ , (3.18)

şi ( )0 1.A z = Răspunsul la impuls al filtrului de ordin m este

[0] 1mh = şi [ ] [ ]m mh k a k= , k = 1, 2, ….,m. Se defineşte [0] 1ma = . Dacă [ ]x n este secvenţa de intrare în filtrul cu funcţia de sistem ( )mA z şi [ ]y n , secvenţa de ieşire, se poate scrie

1

[ ] [ ] [ ] [ ]m

mk

y n x n a k x n k=

= + −∑ (3.19)

Ţinând cont că

1

ˆ[ ] [ ] [ ]m

mk

x n a k x n k=

= − −∑ (3.20)

este valoarea estimată a lui x[n] pe baza a m intrări anterioare, x[n-1], x[n-2], …, x[n-m], din (3.19) şi (3.20) rezultă că [ ]y n reprezintă eroarea de predicţie. Astfel, ieşirea filtrului FIR dată de

Page 663: Prelucrarea digitala a semnalelor

179

relaţia (3.19) poate fi văzută ca eroarea între valoarea adevărată a semnalului x[n] şi valoarea estimată ˆ[ ].x n Pentru a stabili legătura între un filtru FIR în forma directă şi un filtru lattice, se consideră un filtru de ordinul m = 1. Ieşirea unui astfel de filtru este

1[ ] [ ] [1] [ 1]y n x n a x n= + − (3.21) În figura 3.6 se prezintă un filtru lattice de ordinul întâi sau un filtru lattice cu o singură treaptă.

Figura 3.6. Filtru lattice cu o treapta

Dacă în această structură se aplică la ambele intrări x[n] şi se selectează ieşirea de pe ramura de sus, se obţine exact semnalul dat de relaţia (3.21), dacă se alege K1=a1[1]. Parametrul K1 din structura lattice este denumit coeficient de reflexie. Pentru această structură se pot scrie relaţiile:

0 0

1 0 1 0 1

1 1 0 0 1

[ ] [ ] [ ][ ] [ ] [ 1] [ ] [ 1][ ] [ ] [ 1] [ ] [ 1]

f n g n x nf n f n K g n x n K x ng n K f n g n K x n x n

= =

= + − = + −

= + − = + −

(3.22)

În continuare, se consideră filtrul FIR care se obţine pentru m = 2. În acest caz ieşirea structurii în formă directă este 2 2[ ] [ ] [1] [ 1] [2] [ 2]y n x n a x n a x n= + − + − (3.23)

Conectând în cascadă două trepte de structuri lattice ca în figura 3.7, este posibil a se obţine ieşirea ca în relaţia (3.23).

Page 664: Prelucrarea digitala a semnalelor

180

Figura 3.7. Filtru lattice cu două trepte

Într-adevăr, ieşirea din prima treaptă este dată de relaţiile (3.22), iar ieşirea din treapta a doua este

2 1 2 1

2 2 1 1

[ ] [ ] [ 1][ ] [ ] [ 1]

f n f n K g ng n K f n g n

= + −= + −

(3.24)

Înlocuind f1[n] şi g1[n] din (3.22) în relaţia (3.24), se obţine

[ ]2 1 2 1

1 2 2

[ ] [ ] [ 1] [ 1] [ 2][ ] (1 ) [ 1] [ 2]

f n x n K x n K K x n x nx n K K x n K x n

= + − + − + −

= + + − + − (3.25)

Relaţia (3.25) este identică cu ieşirea filtrului FIR în forma directă dată de (3.23), dacă între coeficienţi există relaţiile:

2 2 2 1 2[2] [1] (1 )a K a K K= = + (3.26) sau, echivalent

22 2 1

2

[1][2]1 [2]

aK a Ka

= =+

(3.27)

Astfel, coeficienţii de reflexie ai structurii lattice, K1 şi K2, pot fi obţinuţi din coeficienţii [ ]ma k ai formei directe de

implementare. Continuând procedeul de cascadare a structurilor lattice, se poate demonstra prin inducţie echivalenţa dintre filtrul FIR de ordin m implementat în forma directă şi filtrul lattice de ordin m sau cu m trepte. Filtrul lattice este descris, în general, de următorul sistem de ecuaţii recursive:

0 0[ ] [ ] [ ]f n g n x n= = (3.28)

Page 665: Prelucrarea digitala a semnalelor

181

1 1[ ] [ ] [ 1] 1,2,...,m m m mf n f n K g n m p− −= + − = (3.29) 1 1[ ] [ ] [ 1] 1,2,...,m m m mg n K f n g n m p− −= + − = (3.30)

Ieşirea filtrului cu p trepte corespunde ieşirii filtrului FIR de ordin p. Prin urmare

[ ] [ ]py n f n= (3.31)

Ţinând cont de relaţiile (3.28) ÷ (3.30), în figura 3.8 s-a reprezentat un filtru lattice cu p trepte într-o diagramă bloc, împreună cu structura unei trepte.

Figura 3.8. (a) Filtru lattice cu p trepte, (b) Structura treptei “m”

Ca urmare a echivalenţei între un filtru FIR în formă directă şi un filtru lattice, ieşirea fm[n] a unui filtru lattice de ordin m poate fi exprimată sub forma

0

[ ] [ ] [ ] [0] 1m

m m mk

f n a k x n k a=

= − =∑ (3.32)

Deoarece relaţia (3.32) este o sumă de convoluţie, transformata sa Z este

( ) ( ) ( )m mF z A z X z= (3.33) sau, echivalent

Page 666: Prelucrarea digitala a semnalelor

182

0

( ) ( )( )( ) ( )

m mm

F z F zA zX z F z

= = (3.33’)

unde ( )mA z reprezintă funcţia de sistem a filtrului FIR cu

coeficienţii [ ]ma k .

Cealaltă ieşire a structurii lattice, [ ]mg n , ar putea fi, de asemenea, exprimată sub forma unei sume de convoluţie, utilizând un alt set de coeficienţi, notaţi [ ] .mb k Din relaţia (3.22) se observă

cum coeficienţii filtrului care produce ieşirea 1[ ]f n sunt

1 11, 1, [1]K a= , în timp ce coeficienţii filtrului cu ieşirea 1[ ]g n ,

sunt 1 1,1 [1],1 .K a= Se observă că aceste două seturi de

coeficienţi sunt în ordine inversă. Dacă se consideră filtrul cu două trepte, cu ieşirea dată de relaţia (3.25), atunci 2[ ]g n se determină cu relaţia

[ ]

2 2 1 1

2 1 1

2 1 2

2 2

[ ] [ ] [ 1][ ] [ 1] [ 1] [ 2]

[ ] (1 ) [ 1] [ 2][2] [ ] [1] [ 1] [ 2]

g n K f n g nK x n K x n K x n x nK x n K K x n x na x n a x n x n

= + −

= + − + − + −

= + + − + −= + − + −

(3.34)

În consecinţă, coeficienţii filtrului sunt 2 2[2], [1],1a a , în

timp ce pentru filtrul ce produce ieşirea 2[ ]f n sunt 2 21, [1], [2]a a .

Raţionând în mod analog, se poate conchide că ieşirea [ ]mg n a filtrului lattice de ordin m poate fi exprimată cu ajutorul sumei de convoluţie

0

[ ] [ ] [ ]m

m mk

g n b k x n k=

= −∑ (3.35)

unde coeficienţii filtrului, [ ]mb k , sunt asociaţi cu cei ai filtrului

care produce ieşirea [ ] [ ]mf n y n= , dar care operează în ordine

Page 667: Prelucrarea digitala a semnalelor

183

inversă. Dacă valorile x[n], x[n-1], . . . ,x[n-m+1], sunt utilizate pentru predicţia liniară a eşantionului de semnal x[n-m], valoarea estimată ˆ[ ]x n m− se determină cu relaţia

1

0

ˆ[ ] [ ] [ ]m

mk

x n m b k x n k−

=

− = − −∑ (3.36)

unde coeficienţii [ ]mb k ai filtrului predictor sunt chiar coeficienţii

[ ]ma k luaţi în ordine inversă, prin urmare

[ ] [ ]m mb k a m k= − k = 0, 1, . . . ,m (3.37) În domeniul Z, relaţia (3.35) devine

( ) ( ) ( )m mG z B z X z= (3.38) Rezultă atunci

( )( )( )

mm

G zB zX z

= (3.39)

unde ( )mB z reprezintă funcţia de sistem a filtrului FIR cu

coeficienţii [ ]mb k , care se poate scrie sub forma

0

( ) [ ]m

km m

kB z b k z−

=

= ∑ (3.40)

Înlocuind (3.37) în (3.40) se obţine

0

1

0 0

( ) [ ]

[ ] [ ] ( )

mk

m mk

m ml m m l m

m m ml l

B z a m k z

a l z z a l z z A z

=

− − − −

= =

= − =

= = =

∑ ∑ (3.41)

Din relaţia (3.41) rezultă că zerourile filtrului FIR cu funcţia de transfer ( )mB z sunt reciprocele zerourilor lui ( )mA z . Din acest motiv ( )mB z este numit polinom reciproc sau invers al lui ( )mA z .

Page 668: Prelucrarea digitala a semnalelor

184

Aplicând transformata Z relaţiilor recursive (3.28) ÷ (3.30), se obţine

0 0( ) ( ) ( )F z G z X z= = (3.42)

11 1( ) ( ) ( )m m m mF z F z K z G z−− −= + m = 1, 2, . . . , p (3.43)

11 1( ) ( ) ( )m m m mG z K F z z G z−− −= + m = 1, 2, . . . , p (3.44)

Împărţind fiecare ecuaţie prin ( )X z , se obţin următoarele relaţii:

0 0( ) ( ) 1A z B z= = (3.45)

11 1( ) ( ) ( )m m m mA z A z K z B z−− −= + m = 1, 2, . . ., p (3.46)

11 1( ) ( ) ( )m m m mB z K A z z B z−− −= + m = 1, 2, . . . , p (3.47)

Astfel, o treaptă lattice, este descrisă în domeniul Z de o ecuaţie matriceală de forma

11

1

( ) 1 ( )1 ( )( )

m m m

m mm

A z K A zK z B zB z

−−

⎡ ⎤ ⎡ ⎤ ⎡ ⎤=⎢ ⎥ ⎢ ⎥ ⎢ ⎥⎣ ⎦ ⎣ ⎦⎣ ⎦

(3.48)

3.3.1. Conversia coeficienţilor structurii lattice în coeficienţi ai filtrului FIR în formă directă

Coeficienţii filtrului FIR realizat în formă directă [ ]ma k pot

fi obţinuţi din coeficienţii mK ai structurii lattice, folosind

următoarele relaţii: 0 0( ) ( ) 1A z B z= = (3.49)

11 1( ) ( ) ( )m m m mA z A z K z B z−− −= + , m = 1, 2, . . . , p (3.50)

1( ) ( )mm mB z z A z− −= , m = 1, 2, . . . , p (3.51)

Soluţia se obţine recursiv, începând cu rangul m = 1. Astfel se obţine o familie de (p) filtre FIR, fiecare din ele pentru o valoare a lui m.

Page 669: Prelucrarea digitala a semnalelor

185

Pentru fixarea ideilor, se consideră următorul exemplu. Se dă un filtru lattice cu trei trepte având coeficienţii K1 = ¼, K2 = ½, 1

33K = . Să se determine coeficienţii filtrului FIR în formă directă. Soluţie. Problema se rezolvă recursiv, utilizând relaţia (3.50) începând cu m = 1.

Astfel, 1 1 11 0 1 0 1

1( ) ( ) ( ) 1 14

A z A z K z B z K z z− − −= + = + = + .

Prin urmare, coeficienţii filtrului FIR corespunzători structurii lattice cu o singură treaptă, sunt 1 1 1[0] 1, [1] 1/ 4.a a K= = = Deoarece ( )mB z este reciprocul lui ( )mA z , rezultă

11

1( )4

B z z−= + .

Pentru m=2, din (3.50) rezultă

1 1 22 1 2 1

3 1( ) ( ) ( ) 18 2

A z A z K z B z z z− − −= + = + +

Parametrii filtrului FIR corespunzători structurii lattice cu două trepte sunt 2 2 2[0] 1, [1] 3/8, [2] 1/ 2.a a a= = = Din (3.51) rezultă atunci

1 22

1 3( )2 8

B z z z− −= + +

În final, prin adăugarea celei de-a treia trepte în structura lattice, rezultă polinomul

1 1 2 33 2 3 2

13 5 1( ) ( ) ( ) 124 8 3

A z A z K z B z z z z− − − −= + = + + +

şi, ca urmare, filtrul FIR în formă directă este caracterizat de coeficienţii

3 3 3 313 5 1[0] 1, [1] , [2] , [3]24 8 3

a a a a= = = =

Page 670: Prelucrarea digitala a semnalelor

186

În general, structura lattice cu parametrii 1 2, , ..., ,pK K K

corespunde unei clase de p filtre FIR în forma directă cu funcţiile de sistem 1 2( ), ( ),..., ( ).pA z A z A z Este interesant de observat că o

caracterizare a acestei clase de filtre FIR în formă directă necesită p(p+1)/2 coeficienţi, în timp ce o caracterizare lattice necesită doar p coeficienţi de reflexie mK . Motivul pentru care structura lattice

produce o reprezentare mult mai compactă pentru clasa de filtre FIR de ordin p se datoreză faptului că adăugarea treptelor la structura lattice nu modifică parametrii treptelor anterioare, în timp ce coeficienţii funcţiei de sistem ( )mA z sunt total diferiţi de coeficienţii filtrului FIR de ordin inferior, cu funcţia de sistem 1( ).mA z− O relaţie pentru determinarea recursivă a coeficienţilor [ ]ma k ai filtrului poate fi obţinută din polinoamele date în relaţiile

(4.49)÷(4.51). Din relaţia (4.50) se obţine

11 1

1 1( 1)

1 10 0 0

( ) ( ) ( )

[ ] [ ] [ 1 ]

m m m mm m m

k k km m m m

k k k

A z A z K z B z

a k z a k z K a m k z

−− −

− −− − − +

− −= = =

= + ⇔

= + − −∑ ∑ ∑ (3.52)

Prin egalarea coeficienţilor puterilor egale ale lui 1z− şi ţinând cont că [0] 1ma = , rezultă ecuaţiile recursive pentru coeficienţii filtrului FIR, sub forma:

[0] 1ma = (3.53) [ ]m ma m K= (3.54)

1 1 1 1[ ] [ ] [ ] [ ] [ ] [ ]1 1, 1,2,..., .

m m m m m m ma k a k K a m k a k a m a m kk m m p

− − − −= + − = + −≤ ≤ − =

(3.55)

Page 671: Prelucrarea digitala a semnalelor

187

3.3.2. Conversia coeficienţilor filtrului FIR din forma directă în coeficienţi ai structurii lattice

Dacă se cunosc coeficienţii filtrului FIR pentru implementarea în formă directă sau, echivalent, polinomul ( )mA z şi se doreşte determinarea coeficienţilor corespunzători structurii lattice, de ordin m, atunci [ ].m mK a m= Pentru a obţine coeficientul

1mK − sunt necesare polinoamele 1( )mA z− deoarece, în general, mK este obţinut din polinomul ( )mA z pentru m=p, p-1,..,1. Prin urmare, trebuie calculate succesiv polinoamele ( )mA z , începând de la m = p până la m = 1. Relaţia recursivă dorită pentru polinoame se determină uşor din (3.46) şi (3.47).

[ ]

11 1

1 1

( ) ( ) ( )( ) ( ) ( )

m m m m

m m m m m

A z A z K z B zA z K B z K A z

−− −

− −

= +

= + −

de unde rezultă

1 2

( ) ( )( ) , , 1,...,1.1

m m mm

m

A z K B zA z m p pK−

−= = −

− (3.56)

Astfel se calculează toate polinoamele de grad inferior ( )mA z începând cu ( )pA z şi se obţin coeficienţii doriţi ai structurii lattice

din relaţia [ ].m mK a m= Se observă că procedura prezentată este operaţională atât timp cît | | 1mK ≠ pentru m = 1, 2, ...,p. Din ecuaţia recursivă (3.56), se poate obţine o relaţie pentru calculul recursiv al coeficienţilor mK , începând cu m = p până la m=1. Pentru m = p, p-1,...,1 se obţine

1[ ] [0] 1m m mK a m a −= = (3.57)

Page 672: Prelucrarea digitala a semnalelor

188

1 2 2

[ ] [ ] [ ] [ ] [ ][ ] ,1 11 1 [ ]

m m m m m mm

m m

a k K b k a k a m a m ka k k mK a m−

− − −= = ≤ ≤ −

− −

(3.58) Ecuaţia recursivă (3.58) nu poate fi folosită dacă 1.mK =

Pentru fixarea ideilor, se consideră următorul exemplu. Să se determine coeficienţii structurii lattice corespunzătoare filtrului FIR cu funcţia de sistem

1 2 33

13 5 1( ) ( ) 124 8 3

H z A z z z z− − −= = + + +

Soluţie. Mai întâi se observă că 3 31[3] .3

K a= = Apoi se

construieşte polinomul

1 2 33

1 5 13( )3 8 24

B z z z z− − −= + + +

Relaţia de decrementare din (3.56), cu m =3, conduce la

1 23 3 32 2

3

( ) ( ) 3 1( ) 11 8 2

A z K B zA z z zK

− −−= = + +

Prin urmare, 2 2[2] 1/ 2K a= = şi 1 12 ( ) 1/ 2 (3/8)B z z z− −= + + .

Repetând decrementarea recursivă, se obţine

12 2 21 2

2

( ) ( ) 1( ) 11 4

A z K B zA z zK

−−= = +

Astfel, 1 11[1] .4

K a= =

3.4. Coeficienţii de reflexie optimi ai predictorului lattice înainte şi înapoi

În paragrafele anterioare s-a obţinut un set de ecuaţii din care se pot obţine coeficienţii predictorului care minimizează valoarea

Page 673: Prelucrarea digitala a semnalelor

189

pătratică medie a erorii de predicţie. În continuare se va considera problema optimizării coeficienţilor de reflexie ai predictorului lattice şi exprimarea lor în funcţie de erorile de predicţie înainte şi înapoi. Conform figurii 3.8, eroarea de predicţie înainte în treapta m a predictorului este

[ ] [ ] [ ]1 1 1m m m mf n f n K g n− −= + − (3.59)

Minimizarea erorii pătratice medii [ ] 2m iE f n⎡ ⎤⎣ ⎦ în raport cu

coeficienţii de reflexie impune calculul derivatei

[ ]

[ ] [ ] [ ] [ ]

[ ] [ ] [ ]

2

2 2 21 1 1 1

21 1 1

2 1 1

2 1 2 1

m i

m

m i m m i m i m m i

m

m i m i m m i

E f n

K

E f n K f n g n K g n

K

E f n g n K g n

− − − −

− − −

⎡ ⎤∂ ⎣ ⎦ =∂

⎡ ⎤∂ + − + −⎣ ⎦ =∂

− + −

(3.60)

Prin egalarea cu zero a acesteia, rezultă

[ ] [ ][ ]

[ ] [ ]1 1 1 12

1 1 1

1 11

m i m i m i m im f b

m i m m

E f n g n E f n g nK

E g n E E− − − −

− − −

⎡ ⎤ ⎡ ⎤− − − −⎣ ⎦ ⎣ ⎦= =⎡ ⎤−⎣ ⎦

(3.61)

unde

1 1f b

m mE E− −= = [ ] [ ]2 21 11m i m iE g n E f n− −⎡ ⎤ ⎡ ⎤− =⎣ ⎦ ⎣ ⎦ (3.62)

Se observă că valorile optime ale coeficienţilor de reflexie ai predictorului lattice sunt egale cu coeficienţii de corelaţie normalizaţi dintre erorile înainte şi înapoi din lattice, cu semnul minus. Din acest motiv, coeficienţii mK− se mai numesc coeficienţi de corelaţie parţială (PARCOR). Valoarea pătratică medie a erorii de predicţie poate fi exprimată în forma

Page 674: Prelucrarea digitala a semnalelor

190

[ ] [ ] [ ] [ ] [ ][ ] [ ] [ ] [ ]

2 2 2 21 1 1 1

2 2 21 1 1 1

2 1 1

2 1 1

m i m i m m i m i m m i

m i m m i m i m m i

E f n E f n K f n g n K g n

E f n K E f n g n K E g n

− − − −

− − − −

⎡ ⎤ ⎡ ⎤= + − + − =⎣ ⎦ ⎣ ⎦⎡ ⎤ ⎡ ⎤ ⎡ ⎤+ − + −⎣ ⎦ ⎣ ⎦ ⎣ ⎦ (3.63)

Înlocuind (3.61) şi (3.62) în (3.63), se obţine eroarea pătratică medie minimă în formă recursivă

( )211f f

m m mE K E −= − (3.64)

Deoarece din relaţia (3.61) rezultă că 1mK ≤ , eroarea

pătratică medie minimă dată de relaţia (3.64) este o secvenţă monoton descrescătoare.

3.5. Relaţia dintre un proces AR şi predicţia liniară

Parametrii unui proces AR de ordin p sunt strâns legaţi de parametrii unui predictor de ordin p pentru acelaşi proces. Se reaminteşte că pentru un proces AR ( )p secvenţa de autocorelaţie

[ ]xx mγ este legată de parametrii ka ai procesului prin ecuaţiile

Yule-Walker.

[ ]

[ ]

[ ]

1

2

1*

, 0

, 0

[ ], 0

p

k xxk

p

xx k xx wk

xx

a m k m

m a m k m

m m

γ

γ γ σ

γ

=

=

⎧− − >⎪⎪⎪

= − − + =⎨⎪⎪ − <⎪⎩

∑ (3.65)

Ecuaţiile corespunzătoare predictorului de ordin p sunt date în relaţiile (3.7).

[ ] [ ] [ ]0

, 1...p

xx p xxk

l a k l k l pγ γ=

= − − =∑

Page 675: Prelucrarea digitala a semnalelor

191

Comparând aceste relaţii se observă o relaţie de egalitate între parametrii ka ai procesului AR( )p şi coeficienţii

predictorului [ ] pa k de ordin p . Mai mult, comparând (3.65) cu

(3.8), se observă că eroarea pătratică medie minimă a predictorului de ordinul p , f

pE , este egală cu 2wσ , dispersia zgomotului alb, caz

în care filtrul erorii de predicţie este un filtru de albire, care produce secvenţa de zgomot alb [ ]w n .

3.6. Soluţia ecuaţiilor normale

Anterior s-a arătat că minimizarea valorii pătratice medii a

erorii de predicţie înainte conduce la un sistem de ecuaţii numite ecuaţiile normale (3.7). Acestea pot fi scrise compact în forma

[ ] [ ] [ ]0

0, 1... , 0 1p

p xx pk

a k l k l p aγ=

− = = =∑ (3.66)

Eroarea pătratică medie minimă (EPMM) este dată de relaţia (3.8). Adăugând (3.8) la (3.66) se obţin ecuaţiile normale extinse

[ ] [ ]0

, 0

0, 1,...,

fpp

p xxk

E la k l k

l pγ

=

⎛ =− = ⎜⎜ =⎝

∑ (3.67)

Pentru un proces aleator AR ( )p , EPMM, 2fp wE σ= . Există

doi algoritmi eficienţi de calcul pentru ecuaţiile normale. Unul se datorează lui Levison [29] modificat ulterior de Durbin [62], numit algoritmul Levison-Durbin, care este potrivit prelucrării seriale. Al doilea algoritm, datorat lui Schur [61] calculează, de asemenea, coeficienţii de reflexie şi se pretează prelucrării paralele. Cei doi algoritmi folosesc proprietăţile de simetrie Toeplitz ale matricei de autocorelaţie.

Page 676: Prelucrarea digitala a semnalelor

192

3.6.1. Algoritmul Levison-Durbin Algoritmul Levison Durbin este un algoritm eficient pentru rezolvarea ecuaţiilor normale (3.66) în raport cu coeficienţii predictorului. Acestea pot fi scrise matriceal, sub forma

[ ][ ] [ ]p p pA γΓ = − (3.66’)

unde

[ ] [ ] [ ][ ] [ ] [ ]

[ ] [ ] [ ]

* *

*.

0 1 ... 11 0 ... 2

[ ].. .. ... ..

1 2 ... 0

xx xx xx

notxx xx xx

p p

xx xx xx

pp

p p

γ γ γγ γ γ

γ γ γ

⎡ ⎤−⎢ ⎥−⎢ ⎥Γ = = ⎢ ⎥⎢ ⎥

− −⎢ ⎥⎣ ⎦

Γ (3.68)

este numită matricea de autocorelaţie, iar [ ] [1] ... [ ]T

p p pA a a p⎡ ⎤= ⎣ ⎦

este un vector coloană ale cărui elemente sunt coeficienţii

predictorului, [ ],1pa k k p≤ ≤ , iar [ ][ ] [1] ... [ ] Tp xx xx pγ γ γ= este un

vector coloană ale cărui elemente sunt valorile funcţiei de autocorelaţie [ ],1p l l pγ ≤ ≤ .

Sistemul (3.67) poate fi scrise matriceal, sub forma

1 10[ ][ ]

0

fp

p p

E

A+ +

⎡ ⎤⎢ ⎥⎢ ⎥Γ = ⎢ ⎥⎢ ⎥⎢ ⎥⎣ ⎦

(3.67’)

unde 1[ ] 1 [1] ... [ ]T

p p pA a a p+ ⎡ ⎤= ⎣ ⎦ , iar 1[ ]p+Γ este matricea de

autocorelaţie de ordinul p+1.

Page 677: Prelucrarea digitala a semnalelor

193

Dacă semnalul de intrare este real, operaţia de cojugare (*) dispare din xxγ . Se observă că elementele ( ),p i jΓ ale matricei [ ]pΓ

au proprietatea că ( ), [ ]p xxi j i jγΓ = − . Dacă ( ),p i jΓ = ( )* ,p j iΓ

matricea este şi hermitică. Metoda de obţinere a soluţiei prin algoritmul Levison-Durbin utilizează proprietăţile matricei Toeplitz şi se aplică recursiv începând cu un predictor de ordinul 1m = . Soluţia predictorului de ordinul întâi se obţine din (3.66), pentru 1p = , adică

[ ] [ ][ ]1 1

11 , [0] 1

0xx

xx

a aγγ

= − = (3.69)

EPMM este

[ ] [ ] [ ] [ ] [ ]( )21 1 10 1 1 0 1 1f

xx xx xxE a aγ γ γ= + − = − (3.70)

Se reaminteşte că [ ]1 1a = 1K este primul coeficient de reflexie

al filtrului lattice. Al doilea pas constă în obţinerea coeficienţilor [ ] [ ] 2 21 , 2a a

ai predictorului de ordinul al doilea şi exprimarea soluţiei în funcţie de [ ]1 1a . Cele două ecuaţii obţinute din relaţia (3.66) sunt

[ ] [ ] [ ] [ ] [ ][ ] [ ] [ ] [ ] [ ]

*2 2

2 2

1 0 2 1 1

1 1 2 0 2xx xx xx

xx xx xx

a a

a a

γ γ γ

γ γ γ

+ = −

+ = − (3.71)

unde [ ] [ ]* 1 1xx xxγ γ= − .

Ţinând cont de (3.69) soluţia sistemului de ecuaţii (3.71) devine

[ ] [ ] [ ] [ ][ ] [ ]( )

[ ] [ ] [ ]1 12 2

11

2 1 1 2 1 12

0 1 1xx xx xx xx

f

xx

a aa

Ea

γ γ γ γ

γ

+ += − = −

[ ] [ ] [ ] [ ]*2 1 2 11 1 2 1a a a a= + (3.72)

Page 678: Prelucrarea digitala a semnalelor

194

expresii care reprezintă coeficienţii predictorului de ordinul al doilea. Se reaminteşte că [ ]2 22a K= este cel de-al doilea coeficient

de reflexie al filtrului lattice. Procedând în acelaşi mod se pot exprima coeficienţii predictorului de ordin m în funcţie de coeficienţii predictorului de ordin ( )1m − .

Vectorul coeficienţilor, notat cu [ ]ma , poate fi scris ca sumă

a doi vectori

[ ]

[ ][ ]

[ ]

[ ]1 1

12 [ ]

.. 0

m

m m mm

m

m

aa a d

aK

a m

− −

⎡ ⎤⎢ ⎥ ⎡ ⎤⎡ ⎤⎢ ⎥= = + ⎢ ⎥⎢ ⎥⎢ ⎥ ⎣ ⎦ ⎣ ⎦⎢ ⎥⎢ ⎥⎣ ⎦

(3.73)

unde [ ]1ma − reprezintă vectorul coeficienţilor predictorului de ordin

( )1m − , iar vectorul [ ]1md − şi scalarul mK urmează a fi determinaţi.

Matricea de autocorelaţie Γ de ordin m m× se partiţionează în forma

[ ][ ]

*

1 1

1

[ ][ ] 0

bm m

m btm xx

γγ γ

− −

⎡ ⎤ΓΓ = ⎢ ⎥

⎢ ⎥⎣ ⎦ (3.74)

unde [ ] [ ] [ ]1 1[ ] 1 2 ... 1tbt b

m xx xx xx mm mγ γ γ γ γ− −⎡ ⎤⎡ ⎤= − − =⎣ ⎦ ⎣ ⎦ ,

În relaţia (3.74), *( )i - înseamnă conjugarea complexă, ( )ti - înseamnă transpunere, iar indicele b al vectorului 1[ ]mγ − semnifică faptul că elementele vectorului se consideră în ordine inversă. Cu ajutorul relaţiilor (3.73) şi (3.74), soluţia ecuaţiei [ ][ ] [ ]m m ma γΓ = − poate fi exprimată astfel:

Page 679: Prelucrarea digitala a semnalelor

195

[ ]

[ ][ ]

*

11 11 1

1

[ ][ ][ ] [ ]0[ ] 0

bmm mm m

btxxmm xx

a dmK

γγγγ γ

−− −− −

⎡ ⎤ ⎧ ⎫ ⎡ ⎤⎡ ⎤Γ ⎡ ⎤⎪ ⎪+ = −⎢ ⎥ ⎨ ⎬ ⎢ ⎥⎢ ⎥⎢ ⎥⎪ ⎪⎣ ⎦⎢ ⎥ ⎣ ⎦ ⎣ ⎦⎩ ⎭⎣ ⎦

(3.75)

Din (3.75) rezultă două ecuaţii

[ ] [ ][ ] [ ]*

1 1 1 1 1 1[ ] bm m m m m m ma d K γ γ− − − − − −

⎡ ⎤Γ + Γ + = −⎣ ⎦ (3.76)

[ ] [ ] [ ]1 1 1 1[ ] 0bt btm m m m m xx xxa d K mγ γ γ γ− − − −⎡ ⎤ ⎡ ⎤+ + = −⎣ ⎦ ⎣ ⎦ (3.77)

Deoarece [ ]1 1 1[ ] [ ]m m ma γ− − −Γ = − , din relaţia (3.76) rezultă

[ ] [ ] *11 1 1

bm m m md K γ−− − −

⎡ ⎤= − Γ ⎣ ⎦ (3.78)

dar *

1bmγ −

⎡ ⎤⎣ ⎦ este chiar 1mγ −⎡ ⎤⎣ ⎦ cu elementele scrise în ordine

inversă şi conjugate, ceea ce permite obţinerea soluţiei ecuaţiei (3.78) sub forma

[ ]

[ ][ ]

[ ]

*

*1

*1

1 1

*1

12

..1

m

mbm m m m

m

a ma m

d K a K

a

−− −

⎡ ⎤−⎢ ⎥−⎢ ⎥⎡ ⎤= =⎣ ⎦ ⎢ ⎥⎢ ⎥⎢ ⎥⎣ ⎦

(3.79)

Înlocuind relaţia (3.79) în (3.77), se poate obţine coeficientul de reflexie mK .

[ ] [ ] [ ]*

1 1 1 10bt bt bm m m xx m m xxa K a mγ γ γ γ− − − −

⎡ ⎤⎡ ⎤⎡ ⎤ ⎡ ⎤+ + = −⎣ ⎦ ⎣ ⎦ ⎣ ⎦⎣ ⎦ (3.80)

de unde

[ ] [ ][ ] *

1 1

1 10

btxx m m

m bt bxx m m

m aK

a

γ γ

γ γ− −

− −

⎡ ⎤+ ⎣ ⎦= −⎡ ⎤⎡ ⎤+ ⎣ ⎦ ⎣ ⎦

(3.80')

Înlocuind soluţiile pentru [ ]1md − şi mK în relaţia (3.73) se obţin

relaţiile recursive pentru coeficienţii predictorului

Page 680: Prelucrarea digitala a semnalelor

196

[ ][ ] [ ][ ]

[ ] [ ]*

1 1 1 1

1 10

bt btxx m m xx m m

m m fbt bmxx m m

m a m aa m K

Ea

γ γ γ γ

γ γ− − − −

− −

⎡ ⎤ ⎡ ⎤+ +⎣ ⎦ ⎣ ⎦= = − = −⎡ ⎤⎡ ⎤+ ⎣ ⎦ ⎣ ⎦

(3.81)

[ ] [ ] [ ] [ ] [ ] [ ]* *1 1 1 1

1,..., 1, 1,...,m m m m m m ma k a k K a m k a k a m a m k

k m m p− − − −= + − = + −

= − =(3.82)

Se observă că relaţiile recursive (3.82) sunt identice cu cele care dau coeficienţii predictorului pe baza polinoamelor ( )mA z şi

( )mB z ca în relaţiile (3.53) ÷ (3.55). Mai mult, mK este coeficientul

de reflexie pentru a m − a treaptă a predictorului lattice, deci algoritmul Levison-Durbin calculează coeficienţii de reflexie pentru predicţia lattice optimală, precum şi coeficienţii predictorului optimal FIR în forma directă. Pentru predictorul de ordinul m , EPMM este

[ ] [ ] [ ]

[ ] [ ] [ ] [ ]( ) [ ]

[ ] ( )

1

*1 1

1

2 21 1

0

0

1 1 , 1,...,

mf

m xx m xxk

m

xx m m m xxk

f fm m m m

E a k k

a k a m a m k k

E a m E K m p

γ γ

γ γ

=

− −=

− −

= + − =

= + + − − =

⎡ ⎤= − = − =⎣ ⎦

∑ (3.83)

unde [ ]0 0fxxE γ= . Deoarece coeficienţii de reflexie satisfac

proprietatea că 1mK ≤ , EPMM satisface condiţia

0 1 2 .....f f f fpE E E E≥ ≥ ≥ ≥ (3.84)

În scopul aprecierii eficienţei sau complexităţii unui algoritm, se foloseşte simbolismul din teoria complexităţii calculelor. Notaţia O(•) se foloseşte în analiza eficienţei algoritmilor şi defineşte limita superioară a unei funcţii, reflectând efortul de calcul necesar obţinerii rezultatului, în funcţie de mărimea intrării,

Page 681: Prelucrarea digitala a semnalelor

197

de obicei, numărul de biţi. Complexitatea (temporală) a unei probleme (sau a unui algoritm) reprezintă numărul de paşi (sau echivalentul lor temporal) ce trebuie parcurşi pentru a o rezolva, exprimat, în general, în funcţie de mărimea intrării. De exemplu, se presupune că timpul (sau numărul de paşi) necesari rezolvării unei probleme a cărei intrare are dimensiunea n este de forma

0

( )N

ii

i

T n a n=

= ∑ , unde coeficienţii ia sunt constante independente de

intrare. Cu creşterea lui n, termenul dominant este Nn , ceilalţi putându-se neglija. Coeficienţii ia depind de detaliile implementării. Notaţia O(nN) reflectă factorul dominant, evidenţiind o complexitate de nN. Ecuaţia recursivă (3.82) a algoritmului Levison Durbin necesită O(m) operaţii de multiplicare şi sumare pentru a trece de la treapta m la treapta m+1. Prin urmare, pentru p trepte sunt necesare 1+2+3+...+p=p(p+1)/2 operaţii pentru a determina coeficienţii filtrului predictor sau coeficienţii de reflexie, adică o complexitate O(p2). Dacă nu s-ar fi folosit proprietăţile matricei de corelaţie şi sistemul (3.66) s-ar fi rezolvat prin metoda eliminărilor a lui Gauss, gradul de complexitate ar fi O(p3). Prin folosirea procesării paralele, complexitatea algoritmului poate fi scăzută suplimentar.

3.6.2. Algoritmul Schur

Algoritmul Schur este strâns legat de un test recursiv pentru a determina faptul că matricea de corelaţie este pozitiv definită. În particular, fie matricea de autocorelaţie 1p+Γ asociată cu ecuaţiile

normale extinse date de relaţia (3.67’). Din elementele acestei matrice se formează funcţia

Page 682: Prelucrarea digitala a semnalelor

198

( ) [ ] [ ] [ ][ ] [ ] [ ] [ ]

1 2

0 1 2

1 2 ...0 1 2 ...

pxx xx xx

pxx xx xx xx

z z p zR z

z z p zγ γ γ

γ γ γ γ

− − −

− − −

+ + +=

+ + + + (3.85)

şi familia de funcţii ( )mR z definită recursiv prin

( ) ( ) ( )( ) ( )

1 11 *

1 1

, 1,...,1

m mm

m m

R z RR z m p

z R R z− −

−− −

− ∞= =

⎡ ⎤− ∞⎣ ⎦ (3.86)

Conform teoremei lui Schur [56], o condiţie necesară şi suficientă pentru ca matricea de corelaţie să fie pozitiv definită este ca ( ) 1mR ∞ < pentru 1,...,m p= . Se demonstrează mai întâi că

matricea de autocorelaţie este pozitiv definită dacă coeficienţii de reflexie ai filtrului lattice corespunzător sunt subunitari, adică

1, 1,...,mK m p< = .

Din (3.85) rezultă că ( )0 0R ∞ = . Înseamnă atunci, conform

relaţiei (3.86), că

( ) [ ] [ ] [ ][ ] [ ] [ ] [ ]

1 1

1 1 2

1 2 ...0 1 2 ...

pxx xx xx

pxx xx xx xx

z p zR z

z z p zγ γ γ

γ γ γ γ

− − +

− − −

+ + +=

+ + + + (3.87)

şi, deci ( ) [ ][ ]1

10

xx

xx

Rγγ

∞ = . Comparând cu (3.69), se observă că

( )1 1R K∞ = − , adică [ ] [ ]11 0xx xxKγ γ= − .

În mod analog, rezultă

( ) ( ) ( )( ) ( )( )

[ ] [ ] [ ][ ] [ ] [ ] [ ]

[ ][ ]

[ ][ ]

[ ] [ ] [ ][ ] [ ] [ ] [ ]

1 12 1 *

1 1

1 1

1 2

1 11

1 2

1

1 2 ... 10 1 2 ... 0

1 1 2 ...1 .

0 0 1 2 ...

pxx xx xx xx

pxx xx xx xx xx

pxx xx xx xx

pxx xx xx xx xx

R z RR z

z R R z

z p zz z p z

z p zz

z z p z

γ γ γ γγ γ γ γ γ

γ γ γ γγ γ γ γ γ

− − +

− − −

− − +−

− − −

− ∞= =

− ∞

+ + +−

+ + + += =

⎡ ⎤+ + +−⎢ ⎥+ + + +⎣ ⎦

Page 683: Prelucrarea digitala a semnalelor

199

[ ] [ ] [ ] [ ] [ ] [ ][ ] [ ] [ ] [ ]( ) [ ] [ ] [ ]

2 1

1 1

0 ( 2 ... ) 1 ( 1 ... )0 0 1 ... 1 ( 1 ... )

p pxx xx xx xx xx xx

p pxx xx xx xx xx xx xx

p z p zz p z p z

γ γ γ γ γ γγ γ γ γ γ γ γ

− + − +

− − − +

+ + − + +=

+ + + − + +

şi, deci

( ) [ ] [ ] [ ][ ] [ ]

[ ] [ ][ ]

21

2 22 21

0 2 1 2 10 1 0 (1 )

xx xx xx xx xx

xx xx xx

KR

Kγ γ γ γ γ

γ γ γ

− +∞ = =

− − (3.88)

adică ( )2 2R K∞ = − . În general, rezultă ( ) , 1,...,m mR K m p∞ = − = .

Înseamnă, deci, că dacă ( ) 1, 1,...,mR m p∞ < = , atunci

1, 1,...,mK m p< = , ceea ce asigură că matricea 1p+Γ este pozitiv

definită. Deoarece coeficienţii de reflexie pot fi obţinuţi din familia de funcţii ( ) , 1,...,mR z m p= , rezultă o metodă alternativă pentru

rezolvarea ecuaţiilor normale, cunoscută sub denumirea de algoritmul lui Schur.

Fie ( )mR z exprimat sub forma

( ) ( )( )

, 0,...,mm

m

P zR z m p

Q z= = (3.89)

unde ( ) [ ] [ ] [ ]1 2

0 1 2 ... pxx xx xxP z z z p zγ γ γ− − −= + + +

( ) [ ] [ ] [ ] [ ]1 20 0 1 2 ... p

xx xx xx xxQ z z z p zγ γ γ γ− − −= + + + + (3.90)

Deoarece 0 0K = şi ( ) , 1,...,m mK R m p= − ∞ = ecuaţia

recursivă (3.86) implică următoarele ecuaţii recursive pentru polinoamele ( )mP z şi ( )mQ z .

( )( )

( )( )

11* 1 1

1 1

1, 1,...,m mm

mm m

P z P zKm p

K z zQ z Q z−−

− −− −

⎡ ⎤ ⎡ ⎤⎡ ⎤= =⎢ ⎥ ⎢ ⎥⎢ ⎥

⎢ ⎥ ⎣ ⎦ ⎢ ⎥⎣ ⎦ ⎣ ⎦ (3.91)

Astfel, se poate scrie ( ) ( ) [ ] [ ] [ ]1 2

1 0 1 2 ... pxx xx xxP z P z z z p zγ γ γ− − −= = + + +

Page 684: Prelucrarea digitala a semnalelor

200

( ) ( ) [ ] [ ] [ ][ ]

1 1 21 0

1

0 1 ... 1 pxx xx xx

pxx

Q z z Q z z z p z

p z

γ γ γ

γ

− − − −

− −

= = + + + − +

+(3.92)

şi

( )( )

[ ][ ]

11

1

10

xx

xxz

P zK

Q zγγ

=∞

⎛ ⎞= − = −⎜ ⎟⎜ ⎟

⎝ ⎠ (3.93)

Analog, rezultă ( ) ( ) ( ) [ ] [ ] [ ]

[ ] [ ][ ]

[ ] [ ][ ]

[ ] [ ][ ]

[ ] [ ]( ) [ ] [ ]( )[ ]

1 22 1 1 1

2 2 3 11

21 1

11

1 2 ...

1 1 2 11 ...

0 0 0

2 1 ... 1

pxx xx xx

pxx xx xx xx xx

xxxx xx xx

pxx xx xx xx

pxx

P z P z K Q z z z p z

z z p zz

K z p K p z

K p z

γ γ γ

γ γ γ γ γγ

γ γ γ

γ γ γ γ

γ

− − −

− − − −−

− −

− −

= + = + + + −

− − − − − =

= + + + + − +

+

(3.94)

( ) ( ) ( ) ( ) ( )( )[ ] [ ] [ ] [ ]( )[ ] [ ] [ ]( )

[ ] [ ]( ) [ ] [ ]( )[ ] [ ]( ) [ ]

1 * 1 1 *2 1 1 1 1 1 1

1 1 2 1

* 1 * 2 *1 1 1

* 2 * 31 1

* 1 21

[ 0 1 ... 1

1 2 ... ]

0 1 1 2 ....

1

p pxx xx xx xx

pxx xx xx

xx xx xx xx

p pxx xx xx

Q z z K P z z Q z z Q z K P z

z z z p z p z

K z K z K p z

K z K z

p K p z p z

γ γ γ γ

γ γ γ

γ γ γ γ

γ γ γ

− − −

− − − − − −

− − −

− −

− − − −

= + = + =

= + + + − + +

+ + + + =

+ + + +

+ − + +

(3.95)

1

122

2

[2] [1]( )( ) [0] [1]

xx xx

xx xxz

KP zKQ z K

γ γγ γ∗

=∞

+= − = −

+ (3.96)

Pe baza acestor relaţii, algoritmul Schur este descris de următoarea procedură recursivă:

1. Se formează matricea generatoare cu două linii şi p+1 coloane, de forma:

Page 685: Prelucrarea digitala a semnalelor

201

[ ] [ ] [ ] [ ][ ] [ ] [ ] [ ]0

0 1 2 ...0 1 2 ...

xx xx xx

xx xx xx xx

pG

pγ γ γ

γ γ γ γ⎡ ⎤

= ⎢ ⎥⎣ ⎦

(3.97)

unde elementele primei linii sunt coeficienţii lui ( )0P z , iar cele de

pe a doua linie, coeficienţii lui ( )0Q z .

2. Se deplasează a doua linie a matricei generatoare spre dreapta cu o poziţie şi se renunţă la ultimul element al liniei. În locul rămas liber se plasează un zero. Astfel se obţine o nouă matrice generatoare

[ ] [ ] [ ] [ ][ ] [ ] [ ]1

0 1 2 ...0 0 1 ... 1

xx xx xx

xx xx xx

pG

pγ γ γγ γ γ

⎡ ⎤= ⎢ ⎥−⎣ ⎦

(3.98)

Raportul, cu semnul minus, al elementelor din a doua coloană

reprezintă coeficientul de reflexie [ ][ ]1

10

xx

xx

Kγγ

= − .

3. Se înmulţeşte la stânga matricea generatoare [ ]1G cu

matricea

[ ] 11 *

1

11K

VK⎡ ⎤

= ⎢ ⎥⎣ ⎦

(3.99)

obţinându-se [ ][ ]

[ ] [ ] [ ] [ ][ ] [ ] [ ] [ ]

1 1

1 1* *1 1

0 0 2 1 ... 10 2 1 ... ... 1

xx xx xx xx

xx xx xx xx

V G

K p K pK p K p

γ γ γ γγ γ γ γ

=

⎡ ⎤+ + −= ⎢ ⎥+ − +⎣ ⎦

(3.100) 4. Se deplasează a doua linie a matricei [ ][ ]1 1V G cu o poziţie

spre dreapta, obţinându-se o nouă matrice generatoare

[ ] [ ] [ ] [ ] [ ][ ] [ ] [ ] [ ]

1 12 * *

1 1

0 0 2 1 ... 10 0 0 1 ... 2 1

xx xx xx xx

xx xx xx xx

K p K pG

K p K pγ γ γ γγ γ γ γ

⎡ ⎤+ + −= ⎢ ⎥+ − + −⎣ ⎦

(3.101)

Page 686: Prelucrarea digitala a semnalelor

202

Raportul, cu semnul “-“, al elementelor din coloana a treia reprezintă coeficientul de reflexie 2K . Paşii 3 şi 4 se repetă până se

obţin toţi cei p coeficienţi de reflexie. În general, matricea [ ]mV

este de forma

[ ] *

11

mm

m

KV

K⎡ ⎤

= ⎢ ⎥⎣ ⎦

(3.102)

Multiplicarea lui [ ]mV cu [ ]mG are ca rezultat o matrice din care,

prin deplasarea celei de-a doua linii cu o poziţie rezultă noua matrice generatoare 1[ ]mG + . Se observă că operaţia de deplasare a celei de-a doua linii la fiecare iteraţie echivalează cu înmulţirea cu

1z− din a doua ecuaţie recursivă (3.91). De asemenea, se observă că împărţirea polinomului ( )mP z la ( )mQ z şi evaluarea câtului la

z = ∞ echivalează cu împărţirea elementelor din coloana ( 1m + ) a matricei [ ]mG .

Pentru a demonstra legătura dintre algoritmul Schur, algoritmul Levison Durbin şi predictorul lattice corespunzător, se determină ieşirea filtrului lattice când secvenţa de intrare este secvenţa de autocorelaţie [ ], 0,1,...xx m mγ = , adică prima intrare în lattice este [0]xxγ , a doua, [1]xxγ şi aşa mai departe. Corespunzător figurii 3.8, 0[ ] [ ]xxf n nγ= . După întârzierea din prima treaptă,

0[ 1] [ 1]xxg n nγ− = − , adică, pentru 1n = , raportul

0 0[1]/ [0] [1]/ [0]xx xxf g γ γ= , care este coeficientul de reflexie 1K , cu semnul “-”. Această expresie se poate exprima şi în forma

0 1 0 1[1] [0] [1] [0] 0xx xxf K g Kγ γ+ = + = (3.103)

Mai mult, 0 0[0] [0] fxxg Eγ= = .

La momentul 2n = , conform relaţiei (3.43), intrarea în a doua treaptă este

Page 687: Prelucrarea digitala a semnalelor

203

1 0 1 0 1[2] [2] [1] [2] [1]xx xxf f K g Kγ γ= + = + (3.104) şi, după întârzierea din a doua treaptă, 1 1 0 0 1[1] [1] [0] [1] [0]xx xxg K f g K γ γ= + = + (3.105) Raportul 1 1[2]/ [1]f g este

1 112

1 1 1

[2] [1] [2] [1][2][1] [0] [1]

xx xx xx xxf

xx xx

K Kf Kg K E

γ γ γ γγ γ

+ += = = −

+ (3.106)

deci, 1 2 1 1 1[2] [1] 0, [1] ff K g g E+ = = (3.107)

Continuând în acelaşi mod, rezultă 1 1 1 1[ ]/ [ 1] [ 1] f

m m m m mf m g m K şi g m E− − − −− = − − = (3.108) În consecinţă, coeficienţii filtrului lattice obţinuţi cu algoritmul Levison Durbin sunt identici cu coeficienţii obţinuţi cu algoritmul Schur.

3.7. Proprietăţi ale filtrelor erorii de predicţie

3.7.1. Proprietatea de fază minimă a filtrului erorii de predicţie înainte

Se reaminteşte că un filtru are fază minimă, dacă zerourile funcţiei sale de sistem sunt în interiorul cercului unitate sau pe acesta. S-a arătat anterior că în cazul implementării lattice a filtrului erorii de predicţie, 1mK < pentru toţi m . Această condiţie

împreună cu relaţia: ( )211f f

m m mE K E −= − poate fi folosită pentru a

arăta că zerourile funcţiei de sistem a filtrului erorii de predicţie sunt fie toate în interiorul cercului unitate, fie pe cerc.

Page 688: Prelucrarea digitala a semnalelor

204

Se va arăta că, dacă 0fpE > , zerourile 1iz < pentru orice i ,

unde iz sunt zerourile funcţiei de sistem. Într-adevăr, pentru 1p = funcţia de sistem filtrului erorii de predicţie este

( ) 11 11A z K z−= + (3.109)

ceea ce înseamnă 1 1z K= − şi ( )21 1 01 0f fE K E= − > .

Se presupune ipoteza adevărată pentru 1p − , adică 1 0fpE − > .

Dacă iz este o rădăcină a lui ( )pA z , din relaţiile (3.41) şi (3.46) se

obţine ( ) ( ) ( )

( )

11 1

*1 1

1 0

p i p i p i p i

pp i p i p

i

A z A z K z B z

A z K z Az

−− −

−− −

= + =

⎛ ⎞= + =⎜ ⎟

⎝ ⎠

(3.110)

Din relaţia precedentă se poate scrie expresia

( ) ( )

*1

1

11

pi p

ii

p p i

z Az

Q zK A z

−−

⎛ ⎞⎜ ⎟⎝ ⎠= − = (3.111)

care este de tip trece tot. Pe de altă parte, se ştie că o funcţie trece tot, de forma

( )*

1

1, 1N

kk

k k

zzP z zz z=

+= <

+∏ (3.112)

se bucură de proprietăţile: ( ) 1P z > pentru 1z < ,

( ) 1P z = pentru 1z = şi

( ) 1P z < pentru 1z > .

Prelucrând relaţia (3.112), rezultă

Page 689: Prelucrarea digitala a semnalelor

205

( ) ( )( )

* 11 *

11 1

NNNN k

k k N

z A zz zP z zz z A z

− −−

−=

+= =

+∏ (3.113)

Din relaţiile (3.111) şi (3.113) rezultă că ( ) ( )P zQ z

z= −

pentru N=p-1. Cum 0fpE > şi 1 0f

pE − > din ( )2

11 0f fp p pE K E −= − >

rezultă 1pK < şi, deci, ( ) 1 1ip

Q zK

= > . Conform proprietăţilor

funcţiei de tip trece tot, rezultă 1iz < .

Dacă se presupune că 1 0fpE − > şi 0f

pE = , atunci 1pK = şi

( ) 1iQ z = . Procesul aleator [ ]x n pentru care EPMM este zero

( 0fpE = ) se numeşte predictibil sau determinist.

Fie, de exemplu, procesul aleator sinusoidal de forma

[ ] ( )

1

k kM

j nk

kx n e α θα +

=

= ∑ (3.114)

unde fazele kθ sunt statistic independente şi distribuite uniform în

intervalul ( )0,2π . Funcţia de autocorelaţie este atunci

[ ] 2

1

k

Mjm

xx kk

m e ωγ α=

= ∑ (3.115)

iar densitatea spectrală de putere

( ) ( )2

1,

2

Mk

xx k k kk

f f f f ωα δπ=

Γ = − =∑ (3.116)

Se poate arăta că acest proces este predictibil cu un predictor de ordin p M≥ . Într-adevăr, fie [ ]x n de forma (3.114) care se

aplică la intrarea unui filtru al erorii de predicţie de ordin p M≥ . Eroarea pătratică medie la ieşirea acestui filtru este

Page 690: Prelucrarea digitala a semnalelor

206

( ) ( ) ( ) ( )

( )

1 12 22 22

11 12 2

22

1

Mf

p xx p k k pk

M

k p kk

f A f df f f A f df

A f

ξ α δ

α

=− −

=

⎡ ⎤= Γ = −⎢ ⎥

⎣ ⎦

=

∑∫ ∫

(3.117)

Alegând M din cele p zerouri ale filtrului erorii de predicţie

să coincidă cu frecvenţele kf , eroarea pătratică medie poate fi

forţată să fie zero. Celelalte p M− zerouri pot fi plasate arbitrar, oriunde în interiorul cercului unitate.

3.7.2. Proprietatea de fază maximă a filtrului erorii de predicție înapoi

Un filtru se spune că este de fază maximă, dacă zerourile funcţiei sale de sistem sunt în exteriorul cercului unitate sau pe acesta. Funcţia de sistem pentru filtrul erorii de predicţie înapoi de ordin p este

( ) ( )1pp pB z z A z− −= (3.118)

În consecinţă, rădăcinile lui ( )pB z sunt inversele rădăcinilor

filtrului erorii de predicţie înainte cu funcţia de sistem ( )pA z .

Aceasta înseamnă că, dacă ( )pA z este de fază minimă atunci

( )pB z este de fază maximă. Dacă procesul [ ]x n este predictibil

atunci toate rădăcinile lui ( )pB z sunt pe cercul unitate.

3.7.3. Proprietatea de albire

Se presupune că procesul aleator [ ]x n este de tip AR(p),

adică este generat prin trecerea zgomotului alb, staţionar, de

Page 691: Prelucrarea digitala a semnalelor

207

dispersie 2wσ printr-un filtru numai cu poli, care are funcţia de

sistem

( )1

1

1

1p

kk

H za z−

=

=+∑

(3.119)

Pe de altă parte, filtrul erorii de predicţie înainte de ordin p are funcţia de sistem:

( )1

11 [ ]( )

pk

p pk

A z a k zH z

=

= + =∑ (3.120)

dacă coeficienţii predictorului sunt [ ]p ka k a= . Răspunsul acestui

filtru la semnalul [ ]x n este, evident, zgomot alb de dispersie 2wσ .

Din acest motiv, acest filtru al erorii de predicţie se numeşte filtru de albire.

3.7.4. Proprietatea de ortogonalitate

Erorile de predicţie înapoi [ ] mg k în diferite trepte ale

filtrului FIR lattice sunt ortogonale, adică

[ ] [ ]* 0, pentru 1 ;1 ;,m i l i b

m

m p l p m lE g n g n

E l m≤ ≤ ≤ ≤ ≠⎧

⎡ ⎤ = ⎨⎣ ⎦ =⎩ (3.121)

Într-adevăr,

[ ] [ ] [ ] [ ] [ ]* * *

0 0

m l

m i l i m l i ik j

E g n g n b k b E x n k x n j= =

⎡ ⎤ ⎡ ⎤= − − =⎣ ⎦ ⎣ ⎦∑ ∑

[ ] [ ]*

0 0[ ]

l m

l m xxj k

b j b k j kγ= =

= −∑ ∑ (3.122)

Ecuaţiile normale ale predictorului liniar înapoi sunt

Page 692: Prelucrarea digitala a semnalelor

208

[ ] [ ]0

0, 1,2,..., 1,

m

m xx bk m

j mb k j k

E j mγ

=

= −⎧− = ⎨

=⎩∑ (3.123)

care, înlocuite în (3.122) conduc la (3.121).

3.8. Filtre lattice pentru procese AR şi ARMA

În paragraful 3.3 s-a arătat relaţia dintre un filtru lattice FIR

şi predicţia liniară. Predictorul liniar cu funcţia de sistem

( ) [ ]1

1p

kp p

k

A z a k z−

=

= +∑ , (3.124)

când este excitat cu procesul aleator [ ]x n , produce o ieşire care

aproximează zgomotul alb când p →∞ . Pe de altă parte, dacă procesul de intrare este autoregresiv de ordin p , ieşirea predictorului cu funcţia de sistem ( )pA z este un zgomot alb.

Deoarece predictorul cu funcţia de sistem ( )pA z generează un

proces MA ( )p când este excitat cu o secvenţă de zgomot alb,

structurile lattice numai cu zerouri se mai numesc lattice cu medie alunecătoare sau mobilă. În continuare se prezintă structurile lattice pentru filtrul invers, numai cu poli, ( )1/ pA z , numite structuri

lattice AR şi structurile lattice cu poli şi zerouri pentru un proces ARMA.

3.8.1. Structura lattice AR

Fie un sistem numai cu poli, cu funcţia de sistem

( )[ ]

1

1

1p

kp

k

H za k z−

=

=+∑

(3.125)

Page 693: Prelucrarea digitala a semnalelor

209

Ecuaţia cu diferenţe corespunzătoare este:

[ ] [ ] [ ] [ ]1

p

pk

y n a k y n k x n=

= − − +∑ (3.126)

Schimbând rolul intrării cu ieşirea, adică înlocuind în relaţia (3.126) [ ]x n cu [ ]y n , şi invers, se obţine ecuaţia cu diferenţe:

[ ] [ ] [ ] [ ]1

p

pk

x n a k x n k y n=

= − − +∑ (3.127)

sau, echivalent

[ ] [ ] [ ] [ ]1

p

pk

y n x n a k x n k=

= + −∑ (3.128)

care reprezintă ecuaţia cu diferenţe pentru un sistem FIR cu funcţia de sistem ( )pA z . Aşadar, un sistem IIR numai cu poli poate fi

transformat în unul FIR prin interschimbarea rolului intrării cu ieşirea. Pe baza acestei observaţii se poate obţine structura unei lattice AR ( )p dintr-o lattice MA(p) prin interschimbarea semnalată

anterior. Dacă structura lattice MA ( )p are ieşirea [ ] [ ]py n f n= , şi

intrarea [ ] [ ]0x n f n= , se va impune

[ ] [ ][ ] [ ]0

px n f n

y n f n

⎧ =⎪⎨

=⎪⎩ (3.129)

Aceste definiţii impun calculul mărimilor [ ] mf n în ordine inversă,

lucru care poate fi efectuat prin rearanjarea ecuaţiei recursive (3.29) pentru [ ]mf n şi aflarea lui [ ]1mf n− în funcţie de [ ]mf n . Astfel

[ ] [ ] [ ]1 1 , , 1,...,1.m m m mf n f n K g n m p p− = − − = − (3.130)

Ecuaţia pentru [ ]mg n rămâne neschimbată. Se obţin în final

relaţiile:

Page 694: Prelucrarea digitala a semnalelor

210

[ ] [ ][ ] [ ] [ ][ ] [ ] [ ]

[ ] [ ] [ ]

1 1

1 1

0 0

1

1

p

m m m m

m m m m

x n f n

f n f n K g n

g n K f n g n

y n f n g n

− −

− −

=

= − −

= + −

= =

(3.131)

Împlementarea corespunzătoare pentru structura lattice AR(p) este prezentată în figura 3.9.

Figura 3.9. Structura corespunzătoare pentru lattice AR ( )p

Se observă că structura lattice numai cu poli are o cale

numai cu zerouri cu intrarea [ ]0g n şi ieşirea [ ]pg n , care este

identică cu calea numai cu zerouri din structura lattice MA( )p . Se

observă, de asemenea, că cele două structuri lattice AR ( )p şi

MA ( )p sunt caracterizate de aceiaşi parametri, şi anume,

coeficienţii de reflexie iK , fapt ce permite aplicarea aceloraşi

relaţii de conversie (3.53) ÷ (3.55) şi (3.57), (3.58) a parametrilor

[ ] pa k ai realizării în formă directă a sistemului numai cu

zerouri ( )pA z în parametrii lattice iK ai structurii MA ( )p şi

pentru structurile numai cu poli.

Page 695: Prelucrarea digitala a semnalelor

211

3.8.2. Procese ARMA şi filtre lattice cu poli şi zerouri

O structură lattice numai cu poli furnizează blocul constructiv de bază pentru structurile de tip lattice care implementează sisteme IIR ce conţin atât poli cât şi zerouri. Fie un sistem IIR cu funcţia de sistem

( )[ ]

[ ]

( )( )

0

11

qk

qqk

pk p

pk

c k z C zH z

A za k z

=

=

= =+

∑ (3.132)

Fără a se pierde din generalitate, se presupune p q≥ . Acest sistem este caracterizat de ecuaţiile cu diferenţe

[ ] [ ] [ ] [ ]

[ ] [ ] [ ]

1

0

p

pk

q

qk

v n a k v n k x n

y n c k v n k

=

=

= − − +

= −

∑ (3.133)

obţinute prin considerarea sistemului IIR ca o cascadă formată dintr-un sistem numai cu poli care precede un sistem numai cu zerouri. Ieşirea [ ]y n este o combinaţie liniară a ieşirilor întârziate din

sistemul numai cu poli. Funcţia de sistem

( ) ( )( ) ( )m

b m

G zH z B z

Y z= = (3.134)

unde ( )mG z este transformata Z a ieşirii [ ]mg n din treapta a m-a,

iar ( )Y z , intrarea în calea numai cu zerouri, caracterizează un

sistem numai cu zerouri. Prin urmare, orice combinaţie de [ ] mg n

este, de asemenea, un filtru numai cu zerouri.

Page 696: Prelucrarea digitala a semnalelor

212

Fie un filtru lattice numai cu poli, cu coeficienţii mK , căruia i se adaugă o structură, numită scară, prin considerarea ieşirii ca o combinaţie liniară de [ ] mg n . Se obţine un filtru lattice cu poli şi

zerouri ca în figura 3.10, a cărui ieşire este

[ ] [ ]0

q

k kk

y n g nβ=

=∑ (3.135)

unde kβ sunt parametrii care caracterizează sistemul numai cu

zerouri.

Figura 3.10. a) Structură lattice pentru un sistem cu poli şi zerouri, b) treapta

m a latticei

Cu ajutorul relaţiei (3.135), funcţia de sistem corespunzătoare sistemului cu poli şi zerouri este

( ) ( )( )

( )( )0

qk

kk

Y z G zH z

X z X zβ

=

= =∑ (3.136)

Deoarece ( ) ( )PX z F z= şi ( ) ( )0 0F z G z= , relaţia (3.136) se poate

scrie

Page 697: Prelucrarea digitala a semnalelor

213

( ) ( )( )

( )( ) ( ) ( )0

0 00

1q qk

k k kk kp p

G z F zH z B z

G z F z A zβ β

= =

= =∑ ∑ (3.137)

Prin identificarea cu relaţia (3.132), rezultă

( ) ( )0

q

q k kk

C z B zβ=

=∑ (3.138)

Această relaţie poate fi folosită pentru determinarea coeficienţilor kβ . Fiind date polinoamele ( )qC z şi ( )pA z cu

p q≥ , se determină întâi coeficienţii de reflexie mK din

coeficienţii [ ]pa k . Cu ajutorul relaţiilor recursive date de (3.56) se

obţin polinoamele ( ) , 1,...,kB z k p= . Parametrii scării se pot obtine

din relaţia (3.138), care se mai scrie sub forma

( ) ( ) ( ) ( ) ( )1

10

m

m k k m m m m mk

C z B z B z C z B zβ β β−

−=

= + = +∑ (3.139)

sau, echivalent ( ) ( ) ( )1 , , 1,...,1m m m mC z C z B z m p pβ− = − = − (3.140)

ceea ce permite determinarea polinoamelor de ordin inferior. Deoarece [ ] 1mb m = , parametrul mβ se determină din relaţia (3.140)

impunând [ ], ,...,1m mc m m pβ = = .

Dacă o structură lattice cu poli şi zerouri este excitată cu o secvenţă de zgomot alb, se generează un proces ARMA ( ),p q , a

cărui densitate spectrală de putere este

( )( )( )

2

22

qxx w

p

C ff

A fσΓ = , (11.141)

unde 2wσ este dispersia secvenţei de zgomot alb de la intrare.

Page 698: Prelucrarea digitala a semnalelor

214

3.9. Filtre Wiener pentru filtrare şi predicţie În multe situaţii practice semnalele utile sunt afectate de perturbaţii cu caracter aditiv, motiv pentru care se pune problema proiectării unui filtru care să suprime componenta nedorită de zgomot, păstrând, în acelaşi timp, caracteristicile semnalului dorit. Se impune ca filtrul, caracterizat de răspunsul la impuls [ ]h n , să fie

liniar, iar ieşirea sa să aproximeze un semnal dorit. Situaţia este ilustrată în figura 3.11.

Figura 3.11. Model pentru estimarea liniară a unui semnal unde [ ]s n -semnalul util

[ ]w n -zgomot aditiv

[ ]d n -semnal dorit

[ ] [ ] [ ]x n s n w n= + -semnalul de intrare în filtru

[ ]y n -ieşirea filtrului

[ ] [ ] [ ]e n d n y n= − -secvenţa de eroare

Se disting trei cazuri 1) [ ] [ ]d n s n= , situaţie cunoscută sub numele de filtrare;

2) [ ] [ ], 0d n s n D D= + > , situaţie cunoscută sub numele de

predicţie, filtrare cu anticipare sau extrapolare;

Page 699: Prelucrarea digitala a semnalelor

215

3) [ ] [ ], 0,d n s n D D= − > situaţie cunoscută sub numele de

netezire, filtrare cu întârziere sau interpolare. Criteriul ales pentru optimizarea răspunsului la impuls al filtrului este cel de minimizare a erorii pătratice medii. Secvenţele

[ ] [ ] [ ] , ,s n w n d n se presupun de medie zero şi staţionare în

sens larg. Filtrul liniar optimal care minimizează eroarea pătratică medie se numeşte filtru Wiener şi poate fi cu răspuns finit sau infinit la impuls.

3.9.1. Filtru Wiener cu răspuns finit la impuls

Se presupune că filtrul cu răspuns finit la impuls are lungimea M şi coeficienţii [ ] ,0 1h k k M≤ ≤ − , caz în care ieşirea

sa este

[ ] [ ] [ ]1

0

M

k

y n h k x n k−

=

= −∑ (3.142)

Valoarea pătratică medie a erorii dintre ieşirea dorită [ ]d n şi

ieşirea filtrului, [ ]y n , este

[ ] [ ] [ ] [ ]21

2

0( )

M

M i i ik

E e n E d n h k x n kξ−

=

⎧ ⎫⎛ ⎞⎪ ⎪= = − −⎨ ⎬⎜ ⎟⎝ ⎠⎪ ⎪⎩ ⎭

∑ (3.143)

Condiţia necesară din care se obţine valoarea de extrem a erorii este:

[ ] [ ]

2( )0, 0 1iE e n

k Mh k

∂= ≤ ≤ −

∂ (3.144)

Înlocuind (3.143) în (3.144), rezultă

Page 700: Prelucrarea digitala a semnalelor

216

[ ] [ ] [ ] [ ] [ ] [ ]

22 1

0

( ) Mi

i ik

E e nE d n h k x n k

h k h k

=

⎧ ⎫∂ ∂ ⎛ ⎞⎪ ⎪= − − =⎨ ⎬⎜ ⎟∂ ∂ ⎝ ⎠⎪ ⎪⎩ ⎭∑

[ ] [ ] [ ] [ ]

[ ] [ ] [ ] [ ]( )

[ ] [ ]

21

0

1

0

1

0

2

2 [ ] 0, 0 1

M

i ik

M

i i ik

M

xd xxk

E d n h k x n kh k

E d n h k x n k x n m

m h k k m m Mγ γ

=

=

=

⎧ ⎫∂ ⎛ ⎞⎪ ⎪= − − =⎨ ⎬⎜ ⎟∂ ⎝ ⎠⎪ ⎪⎩ ⎭⎧ ⎫⎛ ⎞

= − − − − =⎨ ⎬⎜ ⎟⎝ ⎠⎩ ⎭

⎛ ⎞= − − − = ≤ ≤ −⎜ ⎟

⎝ ⎠

(3.145)

sau, echivalent

[ ] [ ]1

0

[ ], 0 1M

o xx xdk

h k k m m m Mγ γ−

=

− = ≤ ≤ −∑ (3.146)

Relaţiile (3.146) pentru 0 1m M≤ ≤ − sunt cunoscute ca ecuaţiile Wiener Hopf din care se deduc coeficienţii filtrului optimal FIR care asigură o eroare pătratică minimă. Extremul erorii pătratice medii este un minim, deoarece

[ ]

[ ] [ ]

2 2( )0,pentruiE e n

m kh m h k

∂= ≠

∂ ∂ şi

[ ] [ ]

2 2

2

( )[0] 0, 0 1i

xx

E e nm M

h mγ

∂= > ≤ ≤ −

∂ (3.147)

Ecuaţiile (3.146) poate fi exprimate în formă matriceală astfel:

[ ][ ] [ ]M o dh γΓ = (3.148)

unde

Page 701: Prelucrarea digitala a semnalelor

217

[ ] [ ] [ ][ ] [ ] [ ]

[ ] [ ] [ ]

x

0 1 ... 11 0 ... 2

[ ].. .. ... ..

1 2 ... 0

xx xx xx

xx xx xxM M M

xx xx xx

MM

M M

γ γ γγ γ γ

γ γ γ

⎡ ⎤−⎢ ⎥−⎢ ⎥Γ = ⎢ ⎥⎢ ⎥

− −⎢ ⎥⎣ ⎦

este matricea de autocorelaţie, cu elementele [ ]lk xx l kγΓ = − ,

[ ] [ ]1[0] [1] ... [ 1] T

d xd xd xdMMγ γ γ γ

×= − este un vector coloană cu

elementele [ ], 0,1,..., 1xd l l Mγ = − , iar

[ ] [ ]1[0] [1] ... [ 1] T

o Mh h h h M

×= − este un vector coloană ale

cărui componente sunt valorile răspunsului la impuls al filtrului optimal. Soluţia pentru coeficienţii filtrului optimal este

[ ] [ ] [ ]10 M dh γ−= Γ . (3.149)

Deoarece matricea de corelaţie [ ]MΓ este de tip Toplitz, se poate

folosi algoritmul Levison Durbin pentru aflarea coeficienţilor filtrului optimal (vezi paragraful 3.6.1). Eroarea pătratică medie minimă a filtrului Wiener se obţine înlocuind relaţiile (3.146) în (3.143), adică

[ ] [ ] [ ]

[ ] [ ] [ ] [ ] [ ] [ ]

[ ] [ ] [ ] [ ] [ ]

[ ] [ ]

21

0

1 1

0 0

1 1 1

0 0 0

1

0

min

[0] 2

[0]

M

M i o ik

M M

i o i i o ik m

M M M

dd o xd o o xxm m k

M

dd o xdm

E d n h k x n k

E d n h k x n k d n h m x n m

h m m h m h k m k

h m m

ξ

γ γ γ

γ γ

=

− −

= =

− − −

= = =

=

⎧ ⎫⎛ ⎞⎪ ⎪= − − =⎨ ⎬⎜ ⎟⎝ ⎠⎪ ⎪⎩ ⎭

⎧ ⎫⎛ ⎞⎛ ⎞− − − − =⎨ ⎬⎜ ⎟⎜ ⎟

⎝ ⎠⎝ ⎠⎩ ⎭

− + − =

∑ ∑

∑ ∑ ∑

(3.150)

Page 702: Prelucrarea digitala a semnalelor

218

Ţinând cont de (3.149), relaţia (3.150) se scrie, echivalent, sub forma

1min [0] [ ] [ ] [ ]TM dd d M dξ γ γ γ−= − Γ (3.151)

Se consideră în continuare cazul când semnalul dorit a fi estimat este de forma

[ ] [ ],cu D întreg, fixatd n s n D= + (3.152)

Filtrul liniar optimal operează asupra semnalului observat afectat de zgomot aditiv

[ ] [ ] [ ]x n s n w n= + (3.153)

pentru a elimina zgomotul, producând un răspuns [ ]y n care să

aproximeze [ ]s n D+ . Filtrul optimal va fi de întârziere, dacă 0D <

şi de anticipare, dacă 0D > . Dacă semnalul [ ]s n şi zgomotul [ ]w n sunt necorelate, cum

este de obicei cazul în practică, atunci

[ ] [ ]

[ ] [ ][ ]xx ss ww

xd ss

k k k

m m D

γ γ γ

γ γ

= +

= + (3.154)

iar ecuaţiile Wiener Hopf sunt de forma

[ ] [ ] [ ]

[ ]

1

0

, 0,..., 1

M

o ss wwk

ss

h k m k m k

m D m M

γ γ

γ

=

⎡ ⎤− + − =⎣ ⎦

= + = −

∑ (3.155)

3.9.2. Proprietatea de ortogonalitate a filtrului optimal

Filtrul liniar optimal ce satisface ecuaţia Wiener-Hopf

(3.146) are o proprietate statistică importantă, şi anume, aceea că eroarea pătratică medie este minimă, dacă coeficienţii filtrului

Page 703: Prelucrarea digitala a semnalelor

219

[ ]h n au fost aleşi astfel încât eroarea de estimare şi datele [ ]x n

sunt ortogonale, adică: [ ] [ ] 0,0 1i iE x n m e n m M− = ≤ ≤ − (3.156)

unde

[ ] [ ] [ ] [ ] [ ] [ ]1

0

M

m

e n d n y n d n h m x n m−

=

= − = − −∑ (3.157)

Într-adevăr, egalând cu zero derivata erorii pătratice medii în raport cu [ ]h m , rezultă

[ ][ ]

[ ][ ] [ ]

2

2 0i ii

E e n e nE e n

h m h m

⎡ ⎤∂ ⎧ ⎫∂⎪ ⎪⎣ ⎦ = =⎨ ⎬∂ ∂⎪ ⎪⎩ ⎭ (3.158)

În (3.158) ordinea operaţiilor de mediere şi derivare a fost interschimbată. Din (3.157) se observă că

[ ][ ] [ ] [ ] [ ] [ ]

1

0

M

m

e nh m x n m x n m

h m h m

=

∂ ∂= − − = − −

∂ ∂ ∑ (3.159)

Înlocuind (3.159) în (3.158) rezultă [ ] [ ] 0i iE x n m e n− = ,

0,..., 1m M= − , adică (3.156).

3.9.3. Determinarea funcţiei pondere a filtrelor Wiener cu răspuns infinit la impuls (IIR) la recepţionarea secvenţei de zgomot alb În paragraful precedent s-a impus constrângerea ca filtrul să

fie de lungime finită, obţinându-se un sistem de M ecuaţii liniare din care să rezulte coeficienţii optimi ai filtrului. In paragraful de faţă, filtrele, ca şi datele se consideră infinite ca durată. Ieşirea filtrului IIR se calculează cu relaţia

Page 704: Prelucrarea digitala a semnalelor

220

[ ] [ ] [ ]0k

y n h k x n k∞

=

= −∑ (3.160)

Coeficienţii filtrului rezultă din minimizarea erorii pătratice medii dintre semnalul de ieşire dorit [ ]d n şi [ ]y n , adică

[ ] [ ] [ ] [ ]2 2

0( ) ( )i i i

kE e n E d n h k x n kξ

∞=

⎧ ⎫= = − −⎨ ⎬

⎩ ⎭∑ (3.161)

Aplicând principiul ortogonalităţii, se obţin ecuaţiile Wiener-Hopf

[ ] [ ] [ ]0

, 0o xx xdk

h k m k m mγ γ∞

=

− = ≥∑ (3.162)

Eroarea pătratică medie minimă se obţine din relaţia (3.150) pentru M →∞ , adică

[ ] [ ]0

min [0]dd o xdh m

EPMM h m mξ γ γ∞

∞ ∞=

= = −∑ (3.163)

Ecuaţiile Wiener-Hopf (3.162) nu pot fi rezolvate direct cu ajutorul tehnicilor oferite de transformata Z, deoarece ecuaţiile sunt valabile numai pentru 0m ≥ . Filtrul optimal Wiener-Hopf IIR va fi determinat cu ajutorul unui filtru de albire căruia i se aplică procesul stationar [ ] x n .

În cazul filtrelor discrete optimale IIR cauzale, ecuaţia Wiener-Hopf este dată de relaţia (3.162). În cazul recepţionării unei secvenţe de zgomot alb, notată cu [ ]w n , fie [ ]ww mγ funcţia de autocorelaţie a

acesteia, [ ]wd mγ funcţia de corelaţie dintre secvenţa recepţionată

[ ]w n şi secvenţa dorită a fi estimată [ ]d n şi [ ]owh k funcţia pondere a

unui filtru optimal IIR ce satisface ecuaţia Wiener-Hopf la recepţionarea secvenţei [ ]w n . În cazul secvenţei recepţionate de tip

zgomot alb, ecuaţia (3.162) devine:

Page 705: Prelucrarea digitala a semnalelor

221

0

[ ] [ ] = [ ] , 0oa ww wdk=

k m - k m mh γ γ∞

≥∑ (3.164)

Dar 2 [ ] = [ ] www m - k δ m - kσγ (3.165)

Ţinând cont de (3.165), ecuaţia (3.164) devine: 2 [ ] = [ ] , 0w ow wdh m m m σ γ ≥ (3.166)

Fie [ ]wd mγ+ partea lui [ ]wd mγ pentru m≥0 şi [ ]wd mγ− pentru m <0.

Rezultă atunci:

2

[ ] , pentru 0 [ ] =

0, pentru 0

+wd

ow w

m m h m

m <

γσ

⎧≥⎪

⎨⎪⎩

(3.167)

Aplicând transformata Z relaţiei (3.167), rezultă:

[ ] ( )

( )2 20

[ ] =

1 1[ ]

mow ow ow

m=-

-mwd wd

mw w

Z h m h m z H z

m zzγσ σ

∞−

∞+ +

=

= =

= = ⋅ Γ

∑ (3.168)

3.9.4. Filtru Wiener cauzal cu răspuns infinit la impuls (IIR) Se reaminteşte că un proces aleator stationar [ ]x n , cu funcţia

de autocorelaţie [ ]xx kγ şi densitatea spectrală de putere ( )xx fΓ

poate fi obţinut la ieşirea unui filtru cu funcţia de sistem ( )G z şi răspunsul la impuls [ ]g n , la intrarea căruia se aplică zgomot alb

[ ]w n . Procesul [ ]x n este albit de filtrul cu funcţia de sistem ( )1

G z.

Page 706: Prelucrarea digitala a semnalelor

222

Funcţia ( )G z este partea de fază minimă obţinută din factorizarea

spectrală a lui ( )xx zΓ

( ) ( ) ( )2 1xx iz G z G zσ −Γ = , (3.169)

regiunea de convergenţă pentru ( )G z fiind 1z r> cu 1 1r < .

Pentru a putea folosi rezultatul din paragraful precedent, filtrul optimal Wiener ( )oH z se consideră a fi o cascadă formată

dintr-un filtru de albire caracterizat de funcţia de sistem ( )1

G z şi un

alt filtru caracterizat de funcţia de sistem ( )owH z , a cărui ieşire

[ ]y n este identică cu ieşirea filtrului Wiener optimal.

Figura 3.12. Filtru optimal Wiener

Deoarece

[ ] [ ] [ ]0

owk

y n h k w n k∞

=

= −∑ (3.170)

şi [ ] [ ] [ ]e n d n y n= − , aplicarea principiului ortogonalităţii

determină următoarele ecuaţii Wiener-Hopf pentru filtrul ( )owH z

[ ] [ ] [ ]0

, 0ow ww wdk

h k m k m mγ γ∞

=

− = ≥∑ (3.171)

Optimalitatea filtrului ( )owH z asigură şi optimalitatea filtrului ( )oH z , deoarece

Page 707: Prelucrarea digitala a semnalelor

223

0 0

0 0

0 0

[ ] [ ]

[ ] [ ] [ ] [ ] [ ]

[ ] ( [ ] [ ]) [ ] [ ][ [ ]

[ ] [ ] [ ] [ ] 0

i i

i i ow ip k

i i ow i ip k

wd ow wwp k

E x n e n m

E g p w n p d n m h k w n m k

g p E w n p d n m h k w n p w n m k

g p m p h k m p kγ γ

∞ ∞

= =

∞ ∞

= =

∞ ∞

= =

+ =

⎧ ⎫⎛ ⎞= − + − + − =⎨ ⎬⎜ ⎟

⎝ ⎠⎩ ⎭⎡ ⎤⎧ ⎫

− + − − + − =⎨ ⎬⎢ ⎥⎩ ⎭⎣ ⎦

⎡ ⎤+ − + − =⎢ ⎥

⎣ ⎦

∑ ∑

∑ ∑

∑ ∑(3.172)

Deoarece [ ]w n este zgomot alb, rezultă că [ ] 0ww m kγ − = ,

cu excepţia cazului în care m k= . Din (3.171) se obţine

[ ] [ ][ ]

[ ]2 , 0

0wd wd

owww w

m mh m m

γ γγ σ

= = ≥ (3.173)

Transformata Z, a secvenţei [ ]owh m se determină cu relaţia

( ) [ ] [ ]20 0

1k kow ow wd

k kw

H z h k z k zγσ

∞ ∞− −

= =

= =∑ ∑ (3.174)

Transformata Z bilaterală a secvenţei [ ]wd kγ se notează cu ( )wd zΓ

şi se calculează cu relaţia

( ) [ ] kwd wd

k

z k zγ∞

=−∞

Γ = ∑ (3.175)

iar partea sa cauzală se notează cu

( ) [ ]0

kwd wd

k

z k zγ∞+ −

=

⎡Γ ⎤ =⎣ ⎦ ∑ (3.176)

Cu relaţia (3.176), relaţia (3.174) devine

( ) ( )2

1ow wd

w

H z zσ

+= ⎡Γ ⎤⎣ ⎦ (3.177)

Pentru a determina ( )wd z+⎡Γ ⎤⎣ ⎦ se exprimă ieşirea filtrului de albire

Page 708: Prelucrarea digitala a semnalelor

224

în forma

[ ] [ ] [ ]0k

w n v k x n k∞

=

= −∑ (3.174)

unde [ ] , 0v k k ≥ este răspunsul la impuls al filtrului de albire

( ) ( ) [ ]

0

1 k

k

V z v k zG z

∞−

=

= =∑ (3.179)

Atunci

[ ] [ ] [ ]

[ ] [ ] [ ] [ ] [ ]0 0

wd i i

i i xdm m

k E w n d n k

v m E x n m d n k v m k m

γ

γ∞ ∞

= =

= + =

= − + = +∑ ∑ (3.180)

Transformata Z a funcţiei de corelaţie [ ]wd kγ este

( ) [ ] [ ]

[ ] [ ] [ ] [ ]

( ) ( ) ( )( )

0

0 0

11

kwd xd

k m

k m kxd xd

m k m k

xdxd

z v m k m z

v m k m z v m z k z

zV z z

G z

γ

γ γ

∞ ∞−

=−∞ =

∞ ∞ ∞ ∞− −

= =−∞ = =−∞

−−

⎡ ⎤Γ = + =⎢ ⎥

⎣ ⎦

= + = =

Γ= Γ =

∑ ∑

∑ ∑ ∑ ∑ (3.181)

Rezultă astfel

( ) ( )( )2 1

1 xdow

w

zH z

G zσ

+

⎡ ⎤Γ⎢ ⎥=⎢ ⎥⎣ ⎦

(3.182)

Filtrul optimal Wiener are funcţia de sistem

( ) ( )( ) ( )

( )( )

02 1

1w xdo

w

H z zH z

G z G z G zσ

+

⎡ ⎤Γ⎢ ⎥= =⎢ ⎥⎣ ⎦

(3.183)

Page 709: Prelucrarea digitala a semnalelor

225

În continuare se exprimă EPMM dată de (3.163) în funcţie de caracteristicile în frecvenţă ale filtrului. Valoarea [ ]0ddγ a funcţiei

de autocorelaţie [ ]dd kγ în origine se determină astfel:

Deoarece

[ ] 11 ( )2

kdd ddC

k z z dzj

γπ

−= Γ∫ (3.184)

rezultă că

[ ] ( ) 2102

dddd dC

zdz

j zγ σ

πΓ

= =∫ (3.185)

unde C este un contur din regiunea de convergenţă al lui ( )dd zΓ

care conţine originea, parcurs în sens antiorar. Al doilea termen al relaţiei (3.163) se transformă uşor în

domeniul frecvenţă, aplicând teorema lui Parseval [72]. Deoarece [ ] 0, 0oh k k= < rezultă

[ ] [ ] 1 11 ( ) ( )2o xd o xdC

k

h k k H z z z dzj

γπ

∞− −

=−∞

= Γ∑ ∫ (3.186)

unde C este un contur care înconjoară originea, plasat în regiunea de convergenţă a lui ( )oH z şi ( )1

xd z−Γ . Înlocuind relaţiile (3.185) şi

(3.186) în )3.163), rezultă

( ) ( ) ( )1 112 dd o xdC

EPMM z H z z z dzjπ

− −∞

⎡ ⎤= Γ − Γ⎣ ⎦∫ (3.187)

3.9.5. Filtru Wiener IIR necauzal

Dacă se renunţă la constrângerea impusă filtrului Wiener IIR de a fi cauzal, ieşirea acestuia devine

[ ] [ ] [ ]k

y n h n x n k∞

=−∞

= −∑ (3.188)

Page 710: Prelucrarea digitala a semnalelor

226

Acest filtru este nerealizabil. El poate fi văzut ca un filtru de netezire în care sunt folosite valorile semnalului din viitorul infinit

pentru a furniza estimatul [ ] [ ]d n y n= al semnalului dorit [ ]d n .

Aplicând principiul ortogonalităţii, rezultă ecuaţiile Wiener-Hopf pentru filtrul necauzal

[ ] [ ] [ ],xx xdk

h k l k l lγ γ∞

=−∞

− = −∞ < < ∞∑ (3.189)

Aplicând transformata Z relaţiei (3.189) se obţine

( ) ( )( )

xdnc

xx

zH z

(3.190)

EPMM rezultată este

[ ] [ ] [ ]0nc dd xdk

EPMM h k kγ γ∞

=−∞

= − ∑ (3.191)

iar în domeniul Z

( ) ( ) ( )1 112nc dd nc xdC

EPMM z H z z z dzjπ

− −⎡ ⎤= Γ − Γ⎣ ⎦∫ (3.192)

3.10. Probleme rezolvate 1. Funcţia de autocorelaţie a unui proces aleator este

1, 01/ 2, 1

[ ] 5 /8, 211/16, 3

0, în rest

xx

mm

m mm

γ

=⎧⎪− = ±⎪⎪= = ±⎨⎪− = ±⎪⎪⎩

Să se determine funcţia de sistem ( )mA z a filtrului de predicţie, coeficienţii de reflexie mK şi eroarea pătratică medie de

Page 711: Prelucrarea digitala a semnalelor

227

predicţie fmE , pentru 1,2,3.m =

Soluţie Coeficienţii filtrului predictor se determină cu ajutorul algoritmului Levison Durbin.

Se iniţializează pentru 21 1 1

[1]1, [1] , (1 | [1] | ) [0][0]

fxxxx

xx

m a E aγ γγ

= = − = −

La pasul m se calculează 1

121

11

[ ] [ ] [ ][ ] , (1 | [ ] | )

m

xx m xxf fk

m m m mfm

m a k m ka m E a m E

E

γ γ−

−=

−−

+ −= − = −

1 1[ ] [ ] [ ] [ ], 1 1m m m ma k a k a m a m k k m− −= + − ≤ ≤ −

21 1

1 11 1 1

[1] 11, [1] , (1 (1/ 2) )1 3/ 4[0] 2

1 1( ) 1 [1] 1 ; [1]2 2

fxx

xx

m a E

A z a z z K a

γγ

− −

= = − = = − =

= + = + = =

1

11 1

21 1

22

2 2 2 1

[2] [ ] [2 ][2] [1] [1] 12, [2] ,

2

9 3[2], (1 ( 1/ 2) )16 4

xx xxk xx xx

f f

f f

a k kam a

E E

K a E E

γ γγ γ=

+ −+

= = − = − = −

⎛ ⎞= = − − = = ⎜ ⎟⎝ ⎠

2 1 2 1

2 1 2 1

[ ] [ ] [2] [2 ], 11[1] [1] [2] [1]4

a k a k a a k k

a a a a

= + − =

= + =

1 2 1 22 2 2

1 1( ) 1 [1] [2] 14 2

A z a z a z z z− − − −= + + = + −

Page 712: Prelucrarea digitala a semnalelor

228

2

21

32

[3] [ ] [3 ]3, [3]

xx xxk

f

a k km a

E

γ γ=

+ −= = − =

2 2

2

[3] [1] [2] [2] [1] 1 ,2

xx xx xxf

a aE

γ γ γ+ += − = −

32

3 3 3 227 3[3], (1 ( 1/ 2) )64 4

f fK a E E ⎛ ⎞= = − − = = ⎜ ⎟⎝ ⎠

3 2 3 2

3 2 3 2

3 2 3 2

[ ] [ ] [3] [3 ], 1 211, [1] [1] [3] [2]2

52, [2] [2] [3] [1]8

a k a k a a k k

k a a a a

k a a a a

= + − ≤ ≤

= = + =

= = + = −

1 2 3 1 2 33 2 2 3

1 5 1( ) 1 [1] [2] [3] 12 8 2

A z a z a z a z z z z− − − − − −= + + + = + − −

2. Un proces AR(2) este caracterizat de coeficienţii filtrului

de predicţie 2 23 1[1] , [2]8 2

a a= = .

Dacă procesul AR(2) s-a obţinut prin filtrarea unui zgomot alb cu dispersia 2

wσ , să se determine: a) [ ], 0 2xx m mγ ≤ ≤ b) coeficienţii de reflexie mK , 1 2m≤ ≤

c) eroarea de predicţie 2fE .

Soluţie a) Ecuaţiile Yule – Walker sunt

2

1

, 0[ ] [ ]

0,1

pw

xx k xxk

mm a m k

m pσ

γ γ=

⎧ =+ − = ⎨

≤ ≤⎩∑

unde p=2 este ordinul predicţiei.

Page 713: Prelucrarea digitala a semnalelor

229

22 2

2 2

2 2

0 [0] [1] [ 1] [2] [ 2]1 [1] [1] [0] [2] [ 1] 02 [2] [1] [1] [2] [0] 0

xx xx xx w

xx xx xx

xx xx xx

m a am a am a a

γ γ γ σγ γ γγ γ γ

= + − + − =

= + + − =

= + + =

Cum funcţia de autocorelaţie este pară, rezultă 23 1[0] [1] [2]

8 23 1[1] [0] [1] 08 23 1[2] [1] [0] 08 2

xx xx xx w

xx xx xx

xx xx xx

γ γ γ σ

γ γ γ

γ γ γ

+ + =

+ + =

+ + =

de unde rezultă 2 2 264 16 26[0] , [1] , [2]45 45 45xx w xx w xx wγ σ γ σ γ σ= = − = −

b) Folosind relaţia (3.56), cu 2 2[2]K a= , se poate scrie

2 2 21 2

2

( ) ( )( )1

A z K B zA zK

−=

−, unde

1 2

2 2 22 1

2 2 2

( ) 1 [1] [2]

( ) [1] [2]

A z a z a z

B z z a z a

− −

− −

= + +

= + +

Înlocuind datele problemei în relaţiile precente şi pe acestea în

relaţia pentru 1( )A z , rezultă 11

1( ) 14

A z z−= + , 1 11[1]4

K a= = .

c) 2 21

1

(1 [ ]) [0] (1 [ ])m

f fm m m xx k

k

E a m E a kγ−=

= − = −∏

1 21 12, [1] , [2]4 2

m a a= = =

2 2

22

1 1[0] 1 14 2

fxx wE γ σ

⎛ ⎞⎛ ⎞⎛ ⎞ ⎛ ⎞= − − =⎜ ⎟⎜ ⎟⎜ ⎟ ⎜ ⎟⎜ ⎟⎜ ⎟⎝ ⎠ ⎝ ⎠⎝ ⎠⎝ ⎠

Page 714: Prelucrarea digitala a semnalelor

230

3. Fie semnalul [ ] [ ] [ ]x n s n v n= + , unde [ ]s n este un proces AR(1) caracterizat de ecuaţia cu diferenţe [ ] [ 1] [ ],s n as n v n= − + ,

unde 0,6a = , [ ]v n este zgomot alb cu dispersia 2 0,64vσ = , iar

[ ]w n este zgomot alb cu dispersia 2 1wσ = . Procesele [ ]v n şi [ ]w n sunt necorelate. a) Să se determine funcţiile de autocorelaţie [ ]ss mγ şi [ ]xx mγ ; b) Să se determine răspunsul la impuls al filtrului Wiener FIR, de lungime M=2, pentru estimarea semnalului [ ]s n din [ ]x n . c) Să se determine eroarea pătratică medie minimă de estimare, pentru M=2.

Soluţie

a) 1 1 21

1( ) ( ) ( ) ( ) ( )(1 )(1 )ss vz V z V z H z H z

az azσ− −

−Γ = = =− −

2 22

11

11 1( )v v

z z zaa z a zz a z

aa

σ σ

⎛ ⎞− ⎜ ⎟= = −⎜ ⎟− −⎛ ⎞ ⎜ ⎟−− −⎜ ⎟ ⎝ ⎠⎝ ⎠

2 21 | |

2 2

1[ ] ( ) [ ] [ 1]1 1

mm mv v

ss ssm Z z a u m u m aa a a

σ σγ −⎛ ⎞⎛ ⎞= Γ = + − − =⎜ ⎟⎜ ⎟⎜ ⎟− −⎝ ⎠⎝ ⎠

Înlocuind 0,6a = şi 2 0,64vσ = , rezultă | |[ ] 0,6 mss mγ =

Ţinând cont de (3.154), rezultă | | 2 | |[ ] [ ] [ ] 0,6 [ ] 0,6 [ ]m m

xx ss ww wm m m m mγ γ γ σ δ δ= + = + = +

Page 715: Prelucrarea digitala a semnalelor

231

b) 1

0

2, [ ] [ ] [ ], 0,1o xx dxk

M h k l k l lγ γ=

= − = =∑

Folosind (3.154), relaţia precedentă devine

1

0

[ ][ [ ] [ ]] [ ], 0,1o ss ww ssk

h k l k l k l lγ γ γ=

− + − = =∑

Matriceal, aceasta se scrie

[0] [ 1] [0] [0][1] [0] [1] [1]

xx xx o ss

xx xx o ss

hh

γ γ γγ γ γ

−⎡ ⎤ ⎡ ⎤ ⎡ ⎤=⎢ ⎥ ⎢ ⎥ ⎢ ⎥

⎣ ⎦ ⎣ ⎦ ⎣ ⎦

[0] 2, [ 1] [1] 0,6[0] 1, [1] 0,6

xx xx xx

ss ss

γ γ γγ γ

= − = =

= =[0] 0,4505[1] 0,1648

o

o

hh

=⎧⇒ ⎨ =⎩

c) Cu relaţia (3.150) rezultă

[ ] [ ] [ ] [ ] [ ]2 0 0 0 1 1

1 0,4505 (0,1648)(0,6) 0,45ss ss ssEPMM h hγ γ γ= − − =

= − − =

Eroarea poate fi redusă prin mărirea ordinului filtrului, M. 4. În condiţiile problemei precedente să se determine funcţia de sistem, funcţia pondere şi eroarea pătratică medie minimă a filtrului optimal IIR cauzal. Soluţie Conform relaţiei (3.183), funcţia de sistem a filtrului IIR optimal cauzal se determină cu relaţia

( ) ( )( )

( )2 1

1 xdo

w

zH z

G z G zσ

+

⎡ ⎤Γ⎢ ⎥=⎢ ⎥⎣ ⎦

Conform figurilor (3.11) şi (3.12), 2 1( ) ( ) ( ), ( ) ( ), ( ) ( ) ( )xx w xd ssz G z G z z z X z S z W zσ −Γ = Γ = Γ = +

Page 716: Prelucrarea digitala a semnalelor

232

1 1 1

1 1 2

( ) ( ) ( ) ( ( ) ( ))( ( ) ( ))

( ) ( ) ( ) ( ) ( ) ,deoarece [ ] [ ] 0

xx

ss w

sw ws

z X z X z S z W z S z W z

S z S z W z W z zm m

σγ γ

− − −

− −

Γ = = + + =

+ = Γ +

= =

1

1 1

1 11 10,64 3 3( ) 1 1,8

(1 0,6 )(1 0,6 ) (1 0,6 )(1 0,6 )xx

z zz

z z z z

− −

⎛ ⎞⎛ ⎞− −⎜ ⎟⎜ ⎟⎝ ⎠⎝ ⎠Γ = + =

− − − −

de unde rezultă 2 1,8wσ = şi 1

1

113( )

1 0,6

zG z

z

−=

( ) ( )xd ssz zΓ = Γ

1 1

1

( ) 0,64 1 0,61( ) (1 0,6 )(1 0,6 ) 13

0,80,64 0,8 3

11 0,6 1(1 0,6 ) 133

xd z zG z z z z

zzz zz z

− −

Γ −= ⋅ =

− − −

= = +−⎛ ⎞ −− −⎜ ⎟

⎝ ⎠

( )( )1

0,80,6

xd z zzG z

+

⎡ ⎤Γ⎢ ⎥ =

−⎢ ⎥⎣ ⎦

1 1

1

41 0,8 9( ) 1 10,61 1

3 31,81 0,6

ozH z

zz z

z

− −

= =−− −

4 1[ ] [ ]9 3

n

oh n u n⎛ ⎞= ⎜ ⎟⎝ ⎠

Page 717: Prelucrarea digitala a semnalelor

233

( ) ( ) ( )

( ) ( ) ( )

1 1

1 1

12

Rez

dd o xdC

dd o xdtoţi polii din C

EPMM z H z z z dzj

z H z z z

π− −

− −

⎡ ⎤= Γ − Γ =⎣ ⎦

⎡ ⎤= Γ − Γ =⎣ ⎦

11 1

1

1

1

13

41 0,64 0,649

12 (1 0,6 )(1 0,6 ) (1 0,6 )(1 0,6 )13

0,3561 0,356 1 0,6

1 1 12 21 (1 0,6 )3 3 0,6

0,3560,6Re 0,44

1 13 0,6

C

C C

z

z dzj z z z zz

z dz dzj jz z z z

zz z

π

π π

−− −

=

⎛ ⎞⎜ ⎟

− =⎜ ⎟− − − −⎜ ⎟−⎝ ⎠

−= =

⎛ ⎞ ⎛ ⎞⎛ ⎞− − − −⎜ ⎟ ⎜ ⎟⎜ ⎟⎝ ⎠ ⎝ ⎠⎝ ⎠

−=

⎛ ⎞⎛ ⎞− −⎜ ⎟⎜ ⎟⎝ ⎠⎝ ⎠

∫ ∫

5

5. În condiţiile problemei 3, să se determine funcţia de sistem, funcţia pondere şi eroarea pătratică medie minimă a filtrului optimal IIR necauzal. Soluţie Conform relaţiei (3.190), funcţia de sistem a filtrului Wiener IIR necauzal este

( ) ( )( )

( )( )

1

1

1

0,64(1 0,6 )(1 0,6 )

1 11 1 13 31,8

(1 0,6 )(1 0,6 )

xd ssnc

xx ss

z z z zH zz z z z

z z

Γ Γ − −= = = =Γ Γ + ⎛ ⎞⎛ ⎞− −⎜ ⎟⎜ ⎟

⎝ ⎠⎝ ⎠− −

Page 718: Prelucrarea digitala a semnalelor

234

11

0,64 0,35551 12(1 0,3 0,3 ) 1 13 3

z z z z−

−= =

− − ⎛ ⎞⎛ ⎞− −⎜ ⎟⎜ ⎟⎝ ⎠⎝ ⎠

care, evident este necauzal. Eroarea pătratică medie minimă se determină cu relaţia (3.192)

( ) ( ) ( )

( ) ( ) ( )

( ) ( )

1 1

1 1

1

12

12

1 (1 )2

nc dd nc xdC

ss nc ssC

ss ncC

EPMM z H z z z dzj

z H z z z dzj

z H z z dzj

π

π

π

− −

− −

⎡ ⎤= Γ − Γ =⎣ ⎦

⎡ ⎤Γ − Γ =⎣ ⎦

⎡Γ − ⎤ =⎣ ⎦

Integrandul expresiei de mai sus este

( ) ( ) 1

11 1

11

1 1

(1 )

0,64 0,321(1 0,6 )(1 0,6 ) 1 0,3 0,3

0,64 0,68 0,3 0,3(1 0,6 )(1 0,6 ) 1 0,3 0,3

ss ncz H z z

zz z z z

z z zz z z z

−− −

−−

− −

⎡Γ − ⎤ =⎣ ⎦⎛ ⎞= − =⎜ ⎟− − − −⎝ ⎠

− −⋅ =

− − − −

( )

( ) ( )1

1

1 0,60,64 0,640,6

1 1(1 0,6 )(1 0,6 ) 3 ( 0,6) 33 3

z zz

z z z z z z

−−

⎛ ⎞− −⎜ ⎟⎝ ⎠ =

− − ⎛ ⎞ ⎛ ⎞− − − − −⎜ ⎟ ⎜ ⎟⎝ ⎠ ⎝ ⎠

Cum singurul pol din interiorul cercului unitate este 13

z = ,

reziduul corespunzător este

( ) 1

3

0,64 0,4( 0,6) 3

zz

=

=− −

, adică 0,4ncEPMM = .

Se observă, aşa cum era de aşteptat, că 2ncEPMM EPMM EPMM∞< <