curs_PNS

219
Ioan P. Mihu PROCESAREA NUMERICĂ A SEMNALELOR NOŢIUNI ESENŢIALE EDITURA ALMA MATER Sibiu, 2005

Transcript of curs_PNS

Page 1: curs_PNS

Ioan P. Mihu

PROCESAREA NUMERICĂ A SEMNALELOR

NOŢIUNI ESENŢIALE

EDITURA ALMA MATER Sibiu, 2005

Page 2: curs_PNS

Descrierea CIP a Bibliotecii NaţionaleMIHU, IOAN P.

Procesarea numerică a semnalelor. Noţiuni Esenţiale /Ioan P. Mihu. Sibiu; Editura Universităţii „Lucian Blaga” dinSibiu, 2005

p: 218, cm: 24BibliografieISBN 973-651-290-8

004.932

Page 3: curs_PNS

PREFAŢĂ Avem mereu tentaţia interioară de a crede că subiectele, pe care le scriem şi le prezentăm fiecare dintre noi, sunt cele mai importante. Deşi ştiu acest lucru, cred că domeniul în discuţie în această carte, şi anume acela al prelucrării numerice a semnalelor, este realmente unul important, şi nu doar unul „la modă”.

Lumea nu mai poate exista fără calculator. Având în vedere „explozia continuă” care implică calculatorul în tot mai multe domenii ale vieţii noastre dar cu precădere în domeniul “embedded systems”, cred că o accentuare a pregătirii tinerilor în acest domeniu este una benefică pe termen mediu şi lung. În acest sens este semnificativ faptul că, producţia de procesoare dedicate prelucrărilor numerice, cunoscute sub denumirea “DSP” (Digital Signal Processor), are în ultimii ani un ritm de creştere superior procesoarelor clasice.

O altă dovadă a interesului pentru domeniul procesării numerice a semnalelor o constituie numărul mare de cărţi publicate în ultimii 30 de ani. Acest lucru face extrem de dificilă încercarea oricui de a mai veni cu o lucrare nouă în acest domeniu. Am totuşi curajul să încredinţez tiparului această lucrare, având convingerea că ea este utilă celor ce doresc să se iniţieze în acest domeniu.

Fiindcă lucrurile există cu adevărat abia atunci când au şi un nume, am considerat potrivit să numesc această carte „Procesarea numerică a semnalelor. Noţiuni esenţiale”. Am numit-o astfel pentru a mărturisi obiectivul major pe care l-am avut mereu în faţă atunci când am adunat paginile ei, şi anume acela de a oferi cea mai simplă şi productivă cale spre înţelegerea acestui domeniu, şi anume cunoaşterea fundamentelor, a principiilor.

Page 4: curs_PNS

II Prefaţă

Atunci când prezentăm ceva pe care noi îl cunoaştem, este de-a dreptul firesc să considerăm că acel lucru este simplu. Însă pentru cel care citeşte, lucrurile nu stau tocmai aşa. Scriind această carte, am încercat să mă pun mereu în ipostaza studentului care la început nu cunoaşte nimic sau cunoaşte foarte puţin din cele prezentate. Nu mi-a fost deloc greu, fiindcă atunci când am fost student, nici eu nu am înţeles multe din lucrurile pe care astăzi le pretind studenţilor mei şi pe care le prezint în această carte. Îmi place să cred că, dacă aş fi avut o lucrare ca cea de faţă la vremea respectivă, aş fi înţeles poate mai uşor unele aspecte legate de procesarea numerică a semnalelor.

Alături de acest mod de abordare am încercat, de asemenea, să sistematizez de aşa manieră cele prezentate, încât cititorului să-i fie uşor să sesizeze elementele de esenţă ale prelucrării numerice a semnalelor. Sper ca în acest fel cititorul să poată discerne care sunt lucrurile fundamentale şi care sunt cele ce decurg din acestea în domeniul prelucrării numerice a semnalelor.

Am acordat o importanţă deosebită relaţiei dintre prelucrarea semnalului numeric şi prelucrarea celui analogic din care provine, din convingerea că acest demers va fi un sprijin real pentru viitorul inginer pus în situaţia de a include sisteme de procesare numerică în lumea semnalelor analogice reale.

O mare parte dintre termenii utilizaţi în lucrare, legaţi de domeniul prelucrării numerice, sunt în limba română. Am păstrat însă denumiri în limba engleză pentru termenii a căror traducere sau corespondenţă duce la sensuri mai puţin adecvate şi care sunt consacraţi în literatura de specialitate. Copiilor mei, Ina şi Cantemir. Sibiu, 27 noiembrie 2004

Page 5: curs_PNS

CUPRINS

1. Semnale şi sisteme 1.1. Introducere ............................................................................... 1 1.2. Clasificarea semnalelor.............................................................. 2 1.3. Clasificarea sistemelor............................................................... 9 1.4. Procesare numerică versus procesare digitală .......................... 10 1.5. Istoric, perspective.................................................................. 14

2. Procesarea semnalelor analogice 2.1. Introducere ............................................................................. 15 2.2. Semnalul sinusoidal în timp continuu....................................... 16 2.3. Semnalul sinusoidal funcţie de variabilă complexă ................... 19 2.4. Propagarea semnalelor sinusoidale în circuite liniare................ 21 2.5. Filtre analogice realizate cu circuite electrice liniare................. 25

2.5.1. Rezolvarea circuitelor în regim sinusoidal....................... 26 2.5.2. Caracteristica de frecvenţă ............................................. 28 2.5.3. Caracteristica de frecvenţă exprimată în decibeli............. 31 2.5.4. Aplicaţii......................................................................... 35

2.6. Teorema Fourier în timp continuu ........................................... 40 2.6.1. Teorema Fourier în domeniul real. ................................. 40 2.6.2. Teorema Fourier în electronică ...................................... 43 2.6.3. Spectrul real al unui semnal periodic real........................ 45 2.6.4. Aplicaţii......................................................................... 47 2.6.5. Teorema Fourier în domeniul complex ........................... 52 2.6.6. Spectrul complex al semnalului periodic real .................. 55

2.7. Transformata Fourier directă şi inversă în timp continuu.......... 55 2.7.1. De ce se folosesc transformări? ..................................... 55 2.7.2. Transformata Fourier (TF) ............................................ 57 2.7.3. Legătura dintre TF şi teorema Fourier ........................... 58 2.7.4. De ce se folosesc transformări?...................................... 60 2.7.5. Aplicaţii ........................................................................ 61

2.8. Bibliografie ............................................................................. 62

Page 6: curs_PNS

IV Cuprins

3. Semnalele în calculatorul numeric 3.1. Semnale analogice / semnale numerice ................................... 63 3.2. Eşantionarea şi cuantizarea .................................................... 64 3.3. Spectrul semnalului eşantionat ............................................... 66 3.4. Teorema eşantionării ............................................................. 69 3.5. Alegerea frecvenţei de eşantionare ......................................... 71 3.6. Alegerea nivelului de cuantizare .............................................. 72 3.7. Reconstrucţia semnalelor ....................................................... 75

3.7.1. Interpolarea de ordinul zero cu păstrarea valorii............. 75 3.7.2. Interpolarea liniară......................................................... 75 3.7.3. Interpolarea polinomială ................................................ 76 3.7.4. Interpolarea cu nucleul "sinc" ........................................ 77

3.8. Sisteme de achiziţie de date.................................................... 78 3.9. Aplicaţii ................................................................................. 80 3.10. Bibliografie........................................................................... 82

4. Semnale şi sisteme discrete 4.1. Sinusoida discretă ................................................................... 83 4.2. Sisteme numerice .................................................................... 84

4.2.1. Sisteme discrete liniare .................................................. 85 4.2.2. Sisteme discrete invariante în timp ................................. 86 4.2.3. Sisteme discrete cauzale / necauzale............................... 86 4.2.4. Sisteme discrete recursive / nerecursive ......................... 86 4.2.5. Sisteme discrete stabile / instabile................................... 86

4.3. Exemple de procesare analogică versus procesare digitală ..... 87 4.3.1. Amplificarea unei sinusoide.......................................... 87 4.3.2. Defazarea unei sinusoide................................................ 88

4.4. Transformata Fourier Discretă (TFD) ..................................... 90 4.4.1. Definiţia TFD ................................................................ 90 4.4.2. Legătura dintre TFD şi TF............................................. 94

4.5. Transformata Fourier Rapidă (TFR) ...................................... 95 4.6. La ce folosesc transformatele Fourier? .................................... 96

4.6.1. Calculul spectrului unui semnal...................................... 96 4.6.2. Ferestruirea (windowing)............................................... 98 4.6.3. Calculul densităţii spectrale de putere............................100 4.6.4. Calculul convoluţiei a două semnale..............................100

4.7. Alte transformări....................................................................100 4.7.1. Transformarea Cosinus .................................................101

Page 7: curs_PNS

Cuprins V

4.8. Transformări şi sisteme ..........................................................102 4.8.1. Transformări ale sistemelor...........................................102 4.8.2. Caracteristica de frecvenţă a unui sistem discret ............104

4.9. Aplicaţii ................................................................................105 4.10. Bibliografie..........................................................................110

5. Procesări numerice în domeniul timp 5.1. Introducere...........................................................................111 5.2. Medierea ..............................................................................112 5.3. Derivarea..............................................................................112 5.4. Corelaţia ................................................................................114 5.5. Convoluţia .............................................................................115

5.5.1. Implementarea produsului de convoluţie .......................116 5.5.2. Proprietăţile convoluţiei................................................118 5.5.3. Aspecte spectrale ale convoluţiei...................................119

5.6. Procesări neliniare..................................................................121 5.7. Procesări statistice ................................................................122

5.7.1. Valoarea medie.............................................................122 5.7.2. Deviaţia ........................................................................122 5.7.3. Histograma...................................................................122

5.8. Aplicaţii .................................................................................124 5.9. Bibliografie ............................................................................126

6. Procesări în domeniul frecvenţă. Filtre numerice 6.1. Introducere ............................................................................127

6.1.1. Algoritm cu rol de filtru Trece Jos ................................128 6.1.2. Algoritm cu rol de filtru Trece Sus................................130

6.2. Clasificarea filtrelor numerice.................................................133 6.2.1. Filtre recursive / nerecursive .........................................133 6.2.2. Filtre cauzale / necauzale ..............................................137 6.2.3. Filtre stabile / instabile ..................................................137 6.2.4. Filtre FIR / IIR ............................................................138 6.2.5. Ordinul filtrului.............................................................139

6.3. Aplicaţii .................................................................................140 6.4. Bibliografie ............................................................................142

7. Proiectarea filtrelor nerecursive 7.1. Introducere ............................................................................143 7.2. Metoda Transformatei Fourier ...............................................144

Page 8: curs_PNS

VI Cuprins

7.2.1. Filtru Trece Jos.............................................................144 7.2.2. Filtru Trece Sus............................................................148 7.2.3. Filtru Trece Bandă........................................................148 7.2.4. Filtru Opreşte Bandă.....................................................149

7.3. Metoda Transformatei Fourier Discretă..................................150 7.4. Sinteza modului de proiectarea a filtrelor nerecursive ........153 7.5. Proiectarea filtrelor nerecursive în MATLAB.........................154 7.6. Aplicaţii .................................................................................156 7.7. Bibliografie ............................................................................158

8. Proiectarea filtrelor recursive 8.1. Transformarea Laplace?.........................................................159 8.2. Rezolvarea numerică a ecuaţiilor diferenţiale ..........................166

8.2.1. De la analogic la discret ................................................166 8.2.2. Aplicaţii........................................................................169

8.3. Transformata Z ......................................................................170 8.3.1. Definiţie........................................................................170 8.3.2. De la transformata Laplace la transformata Z ...............171 8.3.3. Proprietăţile transformatei Z .........................................176 8.3.4. Trasarea caracteristicii de frecvenţă .............................177 8.3.5. Filtre recursive. Forme de realizare ...............................179 8.3.6. Rezolvarea ecuaţiilor cu diferenţe finite ........................183

8.4. Poli şi zerouri..........................................................................184 8.4.1. Ce sunt polii şi zerourile?..............................................184 8.4.2. Ce efect au polii şi zerourile ? .......................................186

8.5. Proiectare filtrelor cu metoda “poli - zerouri”.........................189 8.5.1. Proiectarea unui filtru trece bandă.................................190 8.5.2. Filtru trece bandă cu calităţi îmbunătăţite ......................192 8.5.3. Exemple de filtre simple................................................193 8.5.4. Concluzii la metoda “poli - zerouri”..............................196

8.6. Proiectarea filtrelor recursive folosind transf. Z ......................198 8.6.1. Etapele proiectării.........................................................199 8.6.2. Stabilirea gabaritului caracteristicii de frecvenţă ........200 8.6.3. Alegerea unei funcţii de aproximare ..............................201 8.6.4. Determinarea transformatei Z a funcţiei ........................203 8.6.5. Sinteza schemei filtrului recursiv...................... 204

8.7. Proiectarea filtrelor recursive folosind transf. Bilineară ...........205 8.8. Proiectarea filtrelor recursive în MATLAB.............................206 8.9. Aplicaţii .................................................................................206 8.10. Bibliografie .............................................................................208

Page 9: curs_PNS

1. SEMNALE ŞI SISTEME 1.1. Introducere A privi lumea înconjurătoare ca fiind structurată în sisteme nu mai este o noutate. Acest concept a adus şi aduce mari beneficii în folosul cercetării în toate domeniile. Sistemele interacţionează între ele prin schimb de masă, de energie sau de informaţie.

Utilizarea acestui concept în lucrarea de faţă nu face decât să confirme două lucruri: că lumea calculatorului nu face excepţie de la modul de a privi lucrurile în general şi că, în foarte multe sisteme cu care interacţionăm şi de care depindem, calculatorul este deja parte componentă, adesea esenţială. Nu a fost dintotdeauna aşa. Utilizarea sistemelor electronice de calcul pentru procesarea semnalelor este de dată recentă, a apărut cu aproximativ 50 de ani în urmă. Astăzi, acest lucru intră în categoria lucrurilor fireşti. Tot în categoria lucrurilor fireşti trebuie deci să intre şi cunoaşterea principiilor şi tehnicilor de prelucrare numerică a semnalelor.

Definim semnalul ca fiind suportul fizic al informaţiei transferate între sisteme. Orice semnal este din punct de vedere matematic o funcţie de timp, dar în definirea semnalului pot interveni şi alte variabile reprezentate de mărimi fizice precum spaţiul, temperatura etc. Iată câteva exemple de semnale purtătoare de informaţii pe care le vom avea în vedere în continuare: • Sunetul şi imaginea. Sunt semnale ce poartă informaţii care pot fi

percepute de fiinţele vii.

Page 10: curs_PNS

2 Despre Semnale şi Sisteme

• semnale transferate între sisteme din natură sau din procese industriale, având diverse suporturi fizice (tensiuni electrice, câmp magnetic, temperaturi, forţe, viteze, etc.).

1.2. Clasificarea semnalelor Există multe criterii de clasificare a semnalelor, dintre care vom aminti câteva, fără pretenţia de a le epuiza. Astfel, semnalele se pot clasifica după următoarele criterii:

• După natura continuă sau discontinuă a domeniului de definiţie şi a celui de valori.

• După apartenenţa la acelaşi proces (monocanal sau multicanal). • După caracterul unidimensional sau multidimensional. • După caracterul aleatoriu sau predictibil. • După unele caracteristici descrise matematic, semnalele pot fi:

§ măsurabile / nemăsurabile; § de energie finită / infinită, etc;

1.2.1. După natura domeniului de definiţie al variabilei independente (timpul) şi al domeniului în care funcţia ia valori, semnalele se pot clasifica conform tabelului:

Semnale continue

Semnale discrete

Semnale în timp continuu

a

b

Semnale în timp discret

c

d

Tabelul 1.1. Clasificare după natura continuă sau discontinuă

a domeniului de definiţie şi a domeniului de valori.

Page 11: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 3

Diferenţele dintre cele patru tipuri de semnale conform clasificării din tabelul 1.1 sunt evidenţiate în figura următoare:

t

t

u (t)

nTe

nTe

u (t)

u (nTe)

u (nTe)

a.

b.

c.

d.

Figura 1.1. Principalele tipuri de semnale.

Page 12: curs_PNS

4 Despre Semnale şi Sisteme

a. Semnale continue în timp continuu. Reprezintă semnalele care există în toate momentele de timp în intervalul analizat şi a căror amplitudine poate lua orice valoare reală în domeniul lor de valori. Reprezentarea lor grafică este ilustrată în figura 1.1.a.

Circuitele (partea hardware) care prelucrează acest tip de semnale, se numesc circuite analogice, respectiv sisteme analogice. În consecinţă, aceste semnale mai poartă denumirea de semnale analogice.

Deci semnalele analogice există teoretic într-o infinitate de momente dintr-un interval dat şi pot lua o infinitate de valori într-un domeniu finit. Desigur, nu luăm în considerare fenomenele „împinse” până la nivele atomice, cuantice.

De regulă, semnalele provenite din lumea reală înconjurătoare sunt continue în timp şi amplitudine, ca de exemplu: semnalele furnizate de un microfon, de o cameră video, de un traductor de temperatură etc. Cu alte cuvinte lumea înconjurătoare a fost una exclusiv analogică până în momentul intervenţiei inteligenţei umane, care a dus la apariţia procesărilor numerice. Exemple de semnale analogice: § Semnale electrice: tensiune, curent, câmp electric, câmp

magnetic; § Semnale mecanice: deplasare, viteză, unghi, viteză

unghiulară, forţă, cuplu, presiune; § Semnale fizico-chimice: temperatură, concentraţie pH etc.

Pentru a putea prelucra aceste semnale în sisteme electronice, ele sunt convertite cu ajutorul unor traductoare în mărimi electrice: tensiune sau curent. Semnalele continue în timp continuu se notează astfel: S(t)

b. Semnale discrete în timp continuu. Reprezintă semnalele care

există în toate momentele de timp în intervalul de timp analizat, dar a căror amplitudine nu poate lua decât anumite valori din domeniul lor de valori. Este uşor de imaginat acest tip de semnal dacă presupunem că măsurăm o tensiune continuă în timp continuu cu ajutorul unui voltmetru numeric de măsură, al cărui afişaj are un număr dat de cifre, spre exemplu 3. Este evident că un astfel de semnal există în orice moment de timp, însă el nu ia decât un număr finit de valori (dat de numărul cifrelor afişajului). Spre exemplu, un voltmetru indică la un moment dat 12,1 V, iar după un timp următoarea indicaţie

Page 13: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 5

posibilă este 12,2 V. În realitate, tensiunea măsurată poate avea orice valoare cuprinsă între 12,1 V şi 12,2 V, însă din infinitatea de valori din acest domeniu nu sunt reţinute decât cele ce pot fi codificate de cei trei digiţi ai afişajului. Operaţia prin care un semnal continuu ajunge să fie reprezentat cu un număr finit de cifre sau un număr finit de biţi, se numeşte discretizare. Reprezentarea grafică a unui semnal de acest fel este cea din figura 1.1.b.

c. Semnale continue în timp discret. Sunt semnalele definite doar

în anumite momente ale domeniului de definiţie - timpul, dar a căror amplitudine poate lua orice valoare reală în domeniul lor de valori. Practic, semnalele discrete provin matematic din semnalele continue în timp continuu, prin restrângerea domeniului de definiţie doar la anumite valori discrete. Această operaţie prin care din semnalul continuu se iau doar anumite probe (eşantioane) corespunzătoare unor valori discrete ale timpului se numeşte eşantionare. De obicei, valorile discrete ale timpului se aleg echidistante. În acest fel, intervalul de timp dintre două eşantioane formează perioada de eşantionare (Te). Un astfel de semnal este exemplificat în figura 1.1.c.

d. Semnale discrete în timp discret. Sunt semnalele ce se obţin din

cele continue în timp continuu prin eşantionare în momente de timp bine definite, şi apoi prin discretizarea valorii semnalului, mai exact prin trunchierea valorii reale la una cu un număr finit de cifre. Rezultatul va fi acela că semnalul va lua doar un număr finit de valori şi doar în anumite momente de timp. Circuitele (partea hardware) care prelucrează acest tip de semnale se numesc circuite digitale, respectiv sisteme digitale. De aceea, semnalele din această categorie mai poartă denumirea de semnale digitale. Obţinerea semnalelor digitale se face pornind de la cele analogice, parcurgând următoarele etape:

• eşantionare; • digitizare; • codare.

Este evident că dacă comparăm semnalul digital cu cel analogic din care a provenit vom constata uşor că prin digitizare şi eşantionare se pierde o parte din informaţia purtată de semnalul analogic iniţial. Cu cât numărul de cifre reţinute în procesul de

Page 14: curs_PNS

6 Despre Semnale şi Sisteme

discretizare este mai mic, şi cu cât eşantionarea se face „mai rar”, reprezentarea semnalului iniţial devine una „mai aproximativă”, mai săracă în detalii, în informaţie. Cu toate acestea, semnalele digitale sunt singurele care pot fi prelucrate cu calculatorul numeric şi de aceea eşantionarea este o etapă obligatorie pentru prelucrarea semnalelor din lumea reală, în care este implicat calculatorul numeric. Pentru a se pierde cât mai puţină informaţie, ar trebui ca eşantionarea semnalului analogic original să se facă „cât mai des”, iar digitizarea semnalului să se facă pe un număr cât mai mare de cifre. Acest lucru duce însă la obţinerea unui volum mare de date, care ar necesita un spaţiu de memorie mare şi ar implica viteze de calcul reduse. Iată deci că din punctul de vedere al volumului de date şi al timpului de calcul, indicat ar fi ca eşantionarea să se facă „cât mai rar”, iar datele să fie codificate pe un număr cât mai mic de biţi. Între cele două opţiuni se face întotdeauna un compromis: între o frecvenţă de eşantionare mare şi una mică, între un număr de biţi mare şi unul mic, astfel încât să se piardă cât mai puţină informaţie din semnal. Reprezentarea grafică a acestui tip de semnal este cea din figura 1.1.d. Semnalele discrete în timp discret se notează astfel: S[n] sau S[nTe]

1.2.2. Semnalul scalar sau monocanal reprezintă cel mai comun tip de semnal, iar exemplul cel mai la îndemână îl constituie semnalul vocal provenit de la un singur microfon. Semnalul multicanal este cel oferit de mai multe traductoare aferente aceluiaşi proces, sincronizate în timp, ca de exemplu: semnalul stereo al unei înregistrări sonore, înregistrarea electrocardiogramei (ECG) cu mai mulţi electrozi etc. Practic semnalele multicanal sunt diferite între ele, însă sunt corelate, au legătură unele cu altele, având un anumit grad de asemănare deoarece provin de la acelaşi proces. Atât semnalele scalare cât şi cele multicanal pot fi analogice sau digitale.

1.2.3. Semnalele unidimensionale, numite prescurtat 1D, sunt cele care au o singură variabilă independentă, spre exemplu timpul. Semnalele multidimensionale au mai multe variabile independente. Cele cu două variabile independente se numesc semnale 2D, iar cele cu trei variabile independente se numesc semnale 3D.

Page 15: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 7

Clasificarea „semnal unidimensional / multidimensional” nu este totuna cu „semnal monocanal / multicanal”. Spre exemplu, un semnal monocanal provenit de la un microfon, este unidimensional, dacă depinde doar de timp. Dacă depinde însă şi de temperatura microfonului, atunci semnalul este bidimensional. Atât semnalele unidimensionale cât şi cele multidimensionale pot fi analogice sau digitale. Un exemplu de semnal bidimensional este semnalul aferent imaginii captate de o cameră video. Dacă imaginea este una alb-negru şi în mişcare, atunci semnalul „intensitate luminoasă” produs de camera video devine una 3D, fiindcă depinde de trei variabile independente: coordonatele (x, y) ale pixelului curent şi timp. Dacă imaginea este una color, atunci ea poate fi privită ca fiind rezultatul compunerii a trei imagini (roşu, verde şi albastru), deci semnalul aferent unei imagini color va fi unul 3D - multicanal care poate fi scris astfel:

( )I x, y, t =I (x, y, t)I (x, y, t)I (x, y, t)

R

G

B

(1.1)

Dacă semnalul de imagine trebuie redat pe monitorul color al unui calculator, atunci valorile IR, IG, IB vor fi eşantionate şi discretizate iar semnalul se va exprima astfel:

[ ]I x, y, nTe =I [x, y, nTe]I [x, y, nTe]I [x, y, nTe]

R

G

B

(1.2)

Cele trei componente numerice IR, IG şi IB, sunt realizate sincronizat în interiorul unui bloc de conversie analog numerică (CAN), pentru semnale video color, la intrarea căruia se aduce ca semnal analogic chiar imaginea ce se doreşte reprezentată numeric. 1.2.4. Semnalele deterministe sunt cele care pot fi exprimate printr-o regulă precisă ce duce la cunoaşterea exactă a valorii lor în orice moment. Ele pot fi exprimate prin relaţii analitice (formule) sau prin tabele. Momentele în care ele pot fi cunoscute se situează atât în prezent şi trecut, cât şi în viitor. Aceste semnale nu sunt purtătoare de informaţie, ele „nu spun nimic nou”, fiind absolut previzibile. Un

Page 16: curs_PNS

8 Despre Semnale şi Sisteme

exemplu de astfel de semnal este cel furnizat de un generator de tensiune sinusoidală. Semnalele nedeterministe sau aleatoare sunt cele a căror evoluţie în timp nu poate fi anticipată cu certitudine, ca de exemplu: semnalul vocal, video, seismic etc. Aceste semnale sunt cele cu adevărat purtătoare de informaţie. Cu cât ele sunt mai imprevizibile, cu atât mai mare va fi cantitatea de informaţie pe care o poartă. Spre exemplu, semnalul recepţionat pe durata cât se transmit ştiri la un post de radio este ascultat cu interes datorită caracterului său de noutate. Dacă ascultătorul ar şti în fiecare moment ce va spune crainicul în următoarele fraze, atunci semnalul nu ar mai purta informaţie nouă pentru ascultător. În cazul semnalelor nedeterministe, pentru ca informaţia să poată fi receptată, trebuie ca cel ce o transmite şi cel ce o recepţionează să folosească acelaşi limbaj (acelaşi cod, alfabet etc.). Semnalul nedeterminist are caracteristici specifice: media, dispersia, media de ansamblu, dispersia de ansamblu, histograma, densitatea spectrală de putere, etc. Legat de aceşti parametri, semnalul poate avea un anumit grad de predictibilitate a evoluţiei sale în timp. În funcţie de anumite caracteristici ale sale, semnalul nedeterminist poate fi:

• staţionar – media şi dispersia nu depind de timp, ci sunt constante; • ergodic – media pe porţiuni nu diferă de media pe ansamblu; • zgomot alb – are o densitate spectrală de putere constantă în toată

banda de frecvenţă. 1.2.5. Există şi alte criterii de clasificare a semnalelor, criterii care se definesc matematic. Utilitatea acestora se va vedea în capitolele următoare.

Semnalele măsurabile. Sunt acele semnale care matematic satisfac condiţia 1.3.

∫ ∞<=2

1

)(

t

tMdttx (1.3)

Semnale de energie finită sunt acelea care satisfac condiţia 1.4.

∫ ∞<=2

1

2 )(t

tEdttx (1.4)

Dacă semnalul este în timp discret, integralele din relaţiile 1.3 şi 1.4 se transformă în sumă.

Page 17: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 9

1.3. Clasificarea sistemelor Din mulţimea de feluri în care poate fi privită lumea care ne înconjoară, vom alege acum pe acela în care lumea este formată din sisteme care interacţionează între ele prin transfer de informaţie. Din punctul de vedere al aspectelor discutate în acest capitol, sistemul reprezintă un mediu fizic, prevăzut cu posibilitatea de a prelua informaţii din mediul exterior (semnal de intrare) şi de a furniza la rândul lui informaţii mediului exterior prin intermediul semnalului de ieşire. Semnalul de ieşire depinde evident de semnalul de intrare dar depinde esenţial şi de structura sistemului. Majoritatea sistemelor pot fi modelate matematic şi astfel se poate estima răspunsul sistemului (semnalul de ieşire), atunci când se cunoaşte semnalul de intrare şi structura sistemului. Similar în parte cu criteriile amintite la clasificarea semnalelor, există mai multe criterii de clasificare a sistemelor. Iată câteva dintre ele: 1.3.1. Sisteme analogice / sisteme digitale

Un prim criteriu de clasificare îl constituie natura semnalelor pe care sistemul le procesează. În acest sens există: • Sisteme analogice. Sunt sistemele care prelucrează semnale

analogice (semnale continue în timp continuu). Un exemplu de astfel de sistem este amplificatorul de semnale audio, construit cu rezistoare, condensatoare, tranzistoare.

• Sisteme digitale. Sunt sistemele care prelucrează semnale în timp discret, ca de exemplu cele care redau semnale audio înregistrate numeric pe CD. Un sistem digital poate fi un PC „obişnuit”, sau poate fi un sistem de calcul dedicat.

1.3.2. Sisteme liniare / neliniare

Sistemul se numeşte liniar atunci când mărimea de ieşire se poate exprima în funcţie de mărimea de intrare după o lege de forma:

∫ ⋅⋅+⋅+⋅= dtx(t)kdt

dx(t)kx(t)k y(t) IDP (1.5)

Nu este obligatoriu ca de fiecare dată să existe toate cele trei componente. Spre exemplu un amplificator este un sistem linear definit de relaţia 1.5 în care doar kP este diferit de zero.

Page 18: curs_PNS

10 Despre Semnale şi Sisteme

Atributul de sistem liniar / neliniar este valabil şi pentru sistemele digitale, definiţia 1.5 rescriindu-se în mod adecvat. 1.3.3. Sisteme variante / invariante în timp.

Sisteme invariante în timp sunt acele sisteme la care răspunsul sistemului va fi acelaşi, indiferent de momentul aplicării semnalului de intrare. Aplicând deci acelaşi semnal x(t) la momente diferite de timp, la ieşirea sistemului se va produce acelaşi semnal. Dacă y(t ) = S x(t), atunci y(t-to) = S x(t-to), unde prin S x(t) am notat transformarea suferită de semnalul x(t) la trecerea sa prin sistem. 1.3.4. Sisteme cauzale / necauzale

Sistemele cauzale sunt cele la care mărimea de ieşire nu depinde decât de valori ale mărimii de intrare, anterioare momentului curent. Altfel spus, ieşirea nu depinde decât de trecut, nu şi de viitor. Spre deosebire de acestea, la sistemele necauzale ieşirea depinde şi de valori viitoare ale mărimii de intrare. 1.4. Procesare analogică versus procesare numerică Conform primului criteriu de clasificare a sistemelor, există două mari categorii de sisteme relativ la tipul de semnale pe care le prelucrează: sisteme analogice şi sisteme digitale (numerice). Marea majoritate a sistemelor din natură precum şi din unele procese tehnologice sunt de natură continuă, analogică. Prelucrarea semnalelor analogice, se face de către echipamente analogice, care din punct de vedere teoretic pot fi privite ca sisteme analogice. Iată câteva exemple:

• Emiţătoare şi receptoare de radio şi televiziune; • Amplificatoare cu tranzistoare, ca de exemplu cele de microfon sau

cele existente în receptoarele de radio; • Filtre analogice, ca de exemplu cele existente în componenţa

staţiilor de amplificare cu tranzistoare, şi care permit redarea mai accentuată sau mai discretă a sunetelor joase sau a sunetelor înalte;

• Sisteme implicate în transferul de energie: transformatoare, redresoare, surse, oscilatoare etc.

• Regulatoare analogice care, incluse în bucla de reglare automată a unui proces, pot controla valoarea unui parametru al acelui proces (viteză, turaţie, temperatură, presiune etc.).

Page 19: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 11

Toate acestea sunt construite cu rezistoare, condensatoare, diode, tranzistoare etc. şi sunt alimentate cu surse de energie electrică. Prin toate aceste echipamente, semnalul analogic se propagă de la intrare la ieşire, suferind anumite condiţionări, prelucrări, pe care le putem denumi generic procesări analogice.

Tehnicile şi tehnologiile moderne obligă tot mai adesea la utilizarea calculatorului în prelucrarea semnalelor. Relaţia dintre procesarea numerică de semnal şi semnalul analogic din care provine semnalul de prelucrat este sintetizată în figura 1.2. Faţă de această schemă bloc generală pot exista şi alternative. Astfel dacă procesarea se limitează doar la analiza unui semnal, atunci datele numerice nu mai sunt reconvertite în semnale analogice, ci sunt destinate exclusiv analizei şi stocării. În schimb dacă procesarea numerică serveşte doar la generarea sau simularea de semnale analogice, atunci lipseşte CAN.

Esantionare Digitizare

(CAN)

Refacere semnal

(CNA)

DSP

Semnaldigital

SemnaldigitalSemnal

analogicSemnalanalogic

“Lumea numerica”

“Lumea reala”, analogica !

Figura 1.2. Schema bloc a unei procesări numerice de semnal.

Procesarea Numerică a Semnalelor (Digital Signal Processing) nu reprezintă altceva decât prelucrarea cu ajutorul calculatorului, prin operaţii matematice (adunări, înmulţiri, operaţii logice etc.), a semnalelor reprezentate numeric, având ca scop atingerea unor obiective dinainte propuse, specifice fiecărui domeniu de activitate.

Page 20: curs_PNS

12 Despre Semnale şi Sisteme

Iată doar câteva din domeniile care au beneficiat esenţial de avantajele procesării numerice a semnalelor:

• Comunicaţii: codarea / decodarea digitală a sunetului în telefonia digitală cu multiplexarea mai multor convorbiri pe acelaşi fir, Fax-ul, Internet-ul etc;

• Medicină: analiza semnalelor biomedicale (ECG, EEG, computer-tomografia etc.), diagnosticarea automată, monitorizarea diverselor funcţii vitale, proteze complexe;

• Conducerea automată a proceselor: pilotarea automată a navelor, avioanelor şi rachetelor, servomecanisme, roboţi, controlul proceselor industriale complexe sau periculoase;

• Radioul şi televiziunea digitală; • Aplicaţii legate de vorbire: filtrare, recunoaşterea vorbirii, sinteza

vorbirii; • Multimedia: captarea, generarea, procesarea, transmiterea şi

stocarea sunetului şi imaginilor; compact-discul. Avantajele utilizării sistemelor de procesare numerică de semnal faţă de sistemele analogice sunt următoarele:

• Flexibilitatea. Aşa cum am mai spus, un sistem de prelucrare numerică este în esenţă un algoritm de calcul, algoritm pe care îl efectuează un sistem de calcul (sistem cu microprocesor, calculator specializat, sau chiar un PC). Algoritmul poate fi uşor schimbat, prin reprogramare, ceea ce face ca sistemul să poată fi schimbat cu eforturi materiale nule. Deci, prin schimbarea algoritmului, sistemul îşi modifică comportarea, fără nici o modificare fizică a sistemului de calcul.

• Eficienţă economică. Procesarea numerică are avantaje economice deosebite. Să presupunem că unui sistem analogic (un amplificator cu tranzistoare, spre exemplu), i se impune schimbarea caracteristicilor, a comportării. Pentru aceasta el trebuie modificat fizic, îi trebuie schimbate acestuia anumite componente (rezistoare, condensatoare), ceea ce implică cheltuieli materiale, experimente şi noi teste de omologare. În cazul unui amplificator numeric, pentru schimbarea comportării sale, i se va schimba acestuia prin programare doar o mică parte din algoritmul de calcul, fără nici o modificare fizică a sistemului.

Page 21: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 13

• Fiabilitatea. Un algoritm de calcul „nu rugineşte”. Bine-nţeles că problema fiabilităţii unui sistem digital rămâne de luat în seamă, dar ea depinde esenţial de fiabilitatea părţii hard a acestuia. Tehnologiile moderne de realizare a circuitelor numerice au ajuns la performanţe atât de înalte încât, şi din punct de vedere al fiabilităţii, partea hard a sistemelor digitale este comparabilă şi adesea superioară sistemelor analogice.

• Diagnoza. Legat de fiabilitate, se pune şi problema întreţinerii, depanării sistemelor. Starea unui sistem trebuie să poată fi uşor controlată atât în procesul de punere în funcţiune, cât mai ales în situaţii de avarie. Tot aşa de util este ca testarea să se facă şi în timpul funcţionării normale, în scop profilactic. Din acest punct de vedere, sistemele digitale se pretează mai bine decât cele analogice la folosirea unor algoritmi care să testeze şi să furnizeze informaţii uşor de interpretat despre starea sistemului, ba chiar să decidă folosirea unor resurse hardware de rezervă.

• Integrarea. Sistemele digitale pot fi realizate adesea, într-o singură capsulă de circuit integrat. Consecinţă a tehnologiilor moderne, integrarea are implicaţii pozitive asupra fiabilităţii şi costurilor.

• Adaptabilitatea. Odată realizat un algoritm de procesare numerică destinat unui anume sistem, este simplu ca el să poată fi folosit şi în alte aplicaţii, prin simpla adaptare, ajustare a unor parametri. Mai mult chiar, în cadrul aceluiaşi proces, algoritmul de calcul poate fi schimbat dinamic, adaptat la schimbările intervenite în proces.

• Stocarea şi transmisia performantă a datelor. Pentru stocarea datelor analogice suportul clasic îl reprezintă banda magnetică. Pentru datele numerice există soluţii net avantajoase de a stoca date mult mai rapid şi cu o densitate mult mai mare pe unitatea fizică de volum. Marele avantaj al folosirii semnalului numeric faţă de cel digital este însă imunitatea redusă la zgomot, atât în procesul de stocare, cât şi în cel de transmisie.

• Performanţe superioare. Nu în ultimul rând, trebuie menţionat că performanţele sistemelor numerice sunt cel mai adesea superioare sistemelor analogice. Mai mult chiar, există numeroase tipuri de procesări care nici nu pot fi realizate în sistemele analogice, ca de exemplu filtre de ordin mare sau filtre având impuse anumite caracteristici de frecvenţă.

Page 22: curs_PNS

14 Despre Semnale şi Sisteme

1.5. Istoric, perspective

Istoria procesărilor numerice a semnalelor începe, firesc, cu primele încercări umane de cuantizare, mai exact cu sistemele de numeraţie. Bazele teoretice ale acestui domeniu se pun în 1930 şi 1940 de către Nyquist şi Shanon, dar nu trebuie omisă imensa contribuţie adusă în 1807 de Jean Baptiste Fourier, ofiţer în armata lui Napoleon Bonaparte. El este cel care a realizat descompunerea unei funcţii periodice, în serie de funcţii armonice. Are loc apoi dezvoltarea aparatului matematic (Transformata Z), dar "explozia" implementării procesării numerice a semnalelor începe o dată cu apariţia microprocesorului în anii 1970. Dezvoltarea sistemelor de procesare numerică a semnalelor continuă şi în zilele noastre cu crearea de noi microprocesoare "DSP" specializate.

Deşi există încă multe domenii în care procesarea analogică este singura alternativă, avantajele procesărilor numerice sunt evidente. Cu toate aceste avantaje, prelucrarea numerică a semnalelor nu va înlocui niciodată în totalitate prelucrarea analogică. Ea a devenit şi va rămâne o alternativă performantă, atât din punct de vedere al parametrilor tehnici cât şi ai celor economici. Procesarea numerică a semnalelor câştigă tot mai mult teren, fiind înglobată în tot mai multe produse, de la cele destinate tehnologiilor avansate până la cele de uz casnic. Şi din acest motiv, procesarea numerică a semnalelor merită toată atenţia.

Page 23: curs_PNS

2. PROCESAREA SEMNALELOR ANALOGICE 2.1. Introducere Ce caută un astfel de capitol într-o lucrare de procesare numerică a semnalelor? Iată câteva argumente care justifică prezenţa lui aici: • Majoritatea semnalelor numerice provin din lumea reală, în urma

unui proces de achiziţie de semnale analogice, precum: semnale audio, video, biomedicale, semnale de proces (temperatură, viteză, forţă, tensiuni, curenţi etc.).

• Majoritatea semnalelor numerice au ca destinaţie finală tot lumea reală. Spre exemplu, semnalele audio procesate cu calculatorul ajung în final să fie redate pe sisteme analogice (difuzoare), spre a fi sesizate de urechea umană, în manieră analogică.

• Procesarea numerică a semnalelor reprezintă o alternativă la procesarea analogică a semnalelor.

• Procesarea numerică a semnalelor a apărut şi s-a dezvoltat pe seama experienţei din domeniul procesării semnalelor analogice, şi de cele mai multe ori rezolvă aceleaşi probleme apărute în lumea semnalelor analogice, ca de exemplu: memorarea, transmiterea, redarea.

• Pentru cei care nu au o experienţă suficientă în prelucrarea semnalelor analogice, acest capitol este nu doar util, ci şi necesar pentru abordarea celor ce vor urma. Pentru cei cu experienţă bogată în domeniul prelucrării semnalelor analogice, lectura acestui capitol se doreşte o mică uvertură la capitolele de procesare numerică a semnalelor.

Page 24: curs_PNS

16 Procesarea Semnalelor Analogice

Acest capitol conţine scurte referiri la principalele proprietăţi şi metode de prelucrare ale semnalelor continue în timp continuu numite şi semnale analogice, referiri ce se vor o trambulină spre procesarea cu ajutorul calculatorului a semnalelor numerice.

X(t) Y(t)

Figura 2.1. Semnal analogic prelucrat de un sistem liniar. Multe chestiuni din cele prezentate în continuare au mai fost studiate la cursurile de Bazele Electrotehnicii, Circuite Electronice sau Semnale, Circuite şi Sisteme. De fiecare dată problemele au fost privite din unghiul de interes al acelei discipline. De această dată, vom privi spre semnalele analogice şi prelucrarea lor în sisteme liniare, căutând să accentuăm doar acele elemente care stau şi la baza prelucrării semnalelor digitale. 2.2. Semnalul sinusoidal în timp continuu Forma de variaţie sinusoidală are o importanţă cu totul aparte în lumea care ne înconjoară. Există tensiuni sinusoidale, oscilaţii mecanice sinusoidale, oscilaţii acustice sinusoidale, etc. Undele care se propagă în diverse medii elastice (gaze, lichide, solide) sunt tot variaţii sinusoidale ale unor mărimi fizice. Vom folosi denumirea „semnal sinusoidal” în loc de „tensiune sinusoidală”, deşi ea nu este cea mai potrivită. Semantic, prin noţiunea de semnal se înţelege o entitate purtătoare de informaţie. Cu cât semnalul este mai imprevizibil, cu atât mai mare este cantitatea de informaţie conţinută. Ori din acest punct de vedere, o tensiune sinusoidală conţine extrem de puţine informaţii: amplitudine, frecvenţă şi, eventual, defazajul faţă de o fază de referinţă.

u(t) = Umax ⋅sin (ωt) (2.1)

Page 25: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 17

t

Tt

u (t)

u (t)

2π π 3π

T T/2 3T/2

U max

-U max

U max

-U max Figura 2.2. Reprezentarea grafică a sinusoidei în raport cu timpul sau

în raport cu faza.

Precizări asupra mărimilor legate de tensiunea sinusoidală:

• Umax - amplitudinea tensiunii sinusoidale u(t ). Reprezintă valoarea maximă a tensiunii u(t ).

• (ωt) - faza tensiunii u(t ): [ωt]SI = 1 rad. Reprezintă argumentul funcţiei sinus şi are dimensiunea unui unghi. Nu uitaţi, funcţia sin(ϕ), cea de la lecţiile de trigonometrie, are ca argument un unghi! Notaţia consacrată este: ωt = ϕ.

• ω - pulsaţia tensiunii u(t ): [ω]SI = 1 rad/sec. În domeniul mecanic, în cazul oscilaţiei unui punct material spre exemplu, această mărime poartă denumirea de „viteză unghiulară”.

• T - perioada tensiunii sinusoidale: [T]SI = 1 sec. Reprezintă intervalul de timp după care tensiunea u(t) trece prin aceleaşi valori, în acelaşi sens.

• f - frecvenţa tensiunii u(t): [f]SI = 1 sec -1 = 1Hz. Arată de câte ori se repetă un ciclu pe durata unei secunde.

Conform definiţiei: T1f = (2.2)

Page 26: curs_PNS

18 Procesarea Semnalelor Analogice

Relaţia dintre ω, T şi f se deduce simplu, punând condiţia ca după un ciclu complet, (t=T), faza semnalului (ωt) să ajungă la valoarea 2π;

(ωt)|t=T = 2π (2.3)

Deci: ωT=2π (2.4)

Nu întotdeauna o sinusoidă trece prin valoarea zero atunci când timpul este egal cu zero. O astfel de situaţie este ilustrată de tensiunea u2(t), din figura 2.3. De aceea, forma generală a unei tensiuni sinusoidale se scrie astfel: u(t ) = Umax ⋅sin (ωt+ϕ0) (2.5)

În acest caz, expresia generală a fazei unei tensiuni sinusoidale este:

ϕ = (ωt+ϕ0) [ωt+ϕ0]SI = 1 rad. (2.6)

unde ϕ0 reprezintă faza iniţială a tensiunii u(t), adică valoarea fazei la momentul t=0.

ϕ

ϕo

ϕ

u1 (t)

u2 (t)

2ππ 3π

Figura 2.3. Faza iniţială / Defazajul. Tot legat de definiţia fazei trebuie precizat că noţiunea de „defazaj” apare şi trebuie folosită doar atunci când se compară între ele două tensiuni sinusoidale. Prin definiţie, defazajul este diferenţa fazelor a două tensiuni sinusoidale de aceeaşi frecvenţă. Deci, defazajul dintre tensiunile 2.5 şi 2.1 este:

∆ϕ= (ωt +ϕ0) – (ωt) = ϕ0 (2.7)

Page 27: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 19

Pentru a preciza încă o dată terminologia corectă în acest caz, ϕ0 reprezintă: • faza iniţială a tensiunii 2.5 sau • defazajul dintre tensiunea 2.5 şi 2.1. 2.3. Reprezentarea semnalului sinusoidal ca funcţie de variabilă complexă În cele prezentate anterior, tensiunea sinusoidală era o funcţie având timpul ca variabilă reală. În multe din aplicaţiile care vor urma se vor utiliza semnale de variabilă complexă, de aceea este util de reamintit formula Euler:

2eecos(x)

jxjx −+= ;

2j

jxejxesin(x)

−−= (2.8)

unde „e” reprezintă baza logaritmilor naturali. Din cele două relaţii rezultă: e jωt = cos(ωt) + j sin(ωt) (2.9)

Deci, unei sinusoide reale îi corespunde în mod biunivoc exponenţiala complexă e jωt pe care o vom numi de acum înainte sinusoidă complexă. Dacă sinusoida are o fază iniţială diferită de zero, şi o amplitudine diferită de unitate, atunci:

A⋅e j(ωt+ϕo) = A⋅cos(ωt+ϕ0) + j A⋅sin(ωt+ϕ0) (2.10)

Dacă reprezentăm 2.10 în planul complex la momentul t=0, se obţine:

Re

Im

A

ϕ0

A cos(ϕ0)

A sin(ϕ0)

Figura 2.4. Sinusoidă de amplitudine A şi fază iniţială ϕ0 în planul complex.

Page 28: curs_PNS

20 Procesarea Semnalelor Analogice

Iată deci că unei sinusoide reale de amplitudine A şi fază iniţială ϕ0 i se ataşează în planul complex un „vector” având modulul A şi unghiul ϕ0 raportat la axa reală. Relaţia 2.10 este des folosită în studiul semnalelor sinusoidale, deoarece semnalele sinusoidale reale se pot exprima ca parte reală a unei funcţii de variabilă complexă. La ce foloseşte un astfel de demers? Răspunsul este simplu: pentru a opera mai uşor cu semnalele sinusoidale. În acest sens, adunarea a două sinusoide este mai uşor de făcut în plan complex decât în domeniul timp. Iată un exemplu: să se determine rezultatul adunării a două sinusoide de amplitudini A1, A2 şi faze iniţiale ϕ1, ϕ2 date, folosind exponenţiala complexă. Primul impuls este acela de a face apel la trigonometrie:

Ax sin(ωt+ϕx) = A1 sin(ωt+ϕ1) + A2 sin(ωt+ϕ2) (2.11)

Relaţia 2.11 este laborioasă. Mult mai simplu este să reprezentăm în planul complex cele două sinusoide, ca în figura 2.5.

Re

Im

A2

ϕx

A2 cos(ϕ2)

A1 sin(ϕ1)A1

A1 cos(ϕ1)

A2 sin(ϕ2) Ax

Figura 2.5. Adunarea a două sinusoide. Acum adunarea celor două mărimi sinusoidale devine adunarea a doi vectori. Elementele sinusoidei rezultate se pot determina cu uşurinţă, folosind geometria triunghiurilor:

Ax⋅e jϕx = A1⋅e jϕ1 +A2⋅e jϕ2 (2.12)

[ ] [ ]22211

22211

2x )(cosA)cos(A)sin(A)sin(AA ϕϕϕϕ ⋅+⋅+⋅+⋅= (2.13)

)(cosA)(cosA)sin(A)sin(A

arctg2211

2211x ϕϕ

ϕϕϕ

⋅+⋅⋅+⋅

= (2.14)

Page 29: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 21

2.4. Propagarea semnalelor sinusoidale prin circuite electrice liniare Vom începe analiza prelucrării semnalelor analogice cu analiza propagării unui semnal sinusoidal printr-un sistem liniar. Motivul este unul deosebit de important şi anume:

Semnalele sinusoidale sunt singurele din natură

care se propagă prin sisteme liniare fără a fi deformate! Adică, dacă la intrarea unui sistem liniar se aplică o sinusoidă, la ieşirea sa va apare tot o sinusoidă, dar care poate avea: • amplitudinea diferită, mai mare sau mai mică, faţă de

amplitudinea sinusoidei de la intrare; • o posibilă întârziere, mai mare sau mai mică, faţă de sinusoida de

intrare (fază diferită faţă de tensiunea de intrare).

Cele spuse sunt valabile oricare ar fi sistemul liniar: mecanic, hidraulic, pneumatic, electric etc. În continuare ne vom referi doar la prelucrarea semnalelor electrice, particularizând termenul "semnal" care devine „tensiune electrică”.

u (t)

i (t)

R,L,C

Dacă u(t) = Umax ⋅sin (ω t+ϕ1) ⇒ i(t) = Imax ⋅sin (ω t+ϕ2)

Figura 2.6. Regimul armonic în circuite liniare.

În acest sens, este evident motivul pentru care studiul circuitelor electrice începe cu regimul de curent alternativ sinusoidal. Dacă un

Page 30: curs_PNS

22 Procesarea Semnalelor Analogice

circuit liniar, format din rezistoare, bobine şi condensatoare, este alimentat de o sursă de tensiune sinusoidală, atunci toţi curenţii din acel circuit vor fi tot sinusoidali, de aceeaşi frecvenţă cu a sursei. Ştiind, deci, că toţi curenţii din circuit sunt sinusoidali, rezolvarea circuitului se rezumă doar la aflarea amplitudinii acestora şi a defazajului lor faţă de sinusoida ce reprezintă tensiunea u(t ), aşa cum este arătat în figura 2.6. Vom continua analiza cu un exemplu la îndemâna oricui, pentru a înţelege felul în care se petrec lucrurile atunci când trecem un semnal sinusoidal (tensiune electrică) printr-un sistem liniar. Pentru aceasta vom lua ca sistem liniar un amplificator audio (figura 2.7) care are mai multe „butoane”, dintre care vom folosi în experimentul nostru doar trei, şi anume:

• butonul „reglaj frecvenţe joase”; • butonul „reglaj frecvenţe medii”; • butonul „reglaj frecvenţe înalte”.

La intrarea acestui amplificator nu vom aduce muzică spre a ne delecta, ci, pentru studiul procesării semnalelor analogice, vom aduce nişte semnale sinusoidale produse de un generator de tensiune sinusoidală.

Joase Medii ÎnalteVolum

ui (t) u0 (t)

Figura 2.7. Procesarea semnalelor continue în timp continuu. (Amplificatorul)

a. Aplicăm la intrarea amplificatorului un semnal sinusoidal „pur”, conţinând o singură frecvenţă, spre exemplu 4 kHz, pe care o vom numi frecvenţă ridicată, în contextul experimentului de faţă. Desigur că nu este plăcut să asculţi „o sinusoidă”, fiindcă din punct de vedere informatic ea „nu spune nimic”. Vă cer totuşi îngăduinţa de a continua

Page 31: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 23

experimentul, şi pentru a nu fi deranjaţi de un astfel de sunet, putem folosi osciloscopul pentru a vizualiza semnalul de la ieşirea amplificatorului (figura 2.8). Modificând poziţia butonului „reglaj frecvenţe înalte”, sunetul produs de amplificator îşi va schimba puterea: sunetul va fi mai puternic dacă frecvenţele înalte sunt mai puternic amplificate, sau mai slab dacă ele sunt puţin amplificate. Pe ecranul osciloscopului semnalul sinusoidal va apare în consecinţă mai mare sau mai mic, în funcţie de poziţia butonului „reglaj frecvenţe înalte”. Dacă am avea un amplificator de calitate am putea chiar să atenuăm cu totul aceste sunete de frecvenţă ridicată. În concluzie, putem afirma că, aplicând o sinusoidă de frecvenţă ridicată la intrarea amplificatorului, la ieşirea sa se obţine tot o sinusoidă, de aceeaşi frecvenţă, dar de amplitudine şi fază diferită, în funcţie de felul în care este poziţionat butonul „reglaj frecvenţe înalte”.

Figura 2.8. Semnal de frecvenţă ridicată.

b. Aplicăm acum la intrarea amplificatorului un semnal sinusoidal de frecvenţă joasă de 300 Hz (figura 2.9). Experimentul este similar cu cel precedent, cu diferenţa că poziţionând diferit butonul „reglaj frecvenţe joase”, acestea se vor auzi mai tare sau mai încet.

Figura 2.9. Semnal de frecvenţă joasă.

c. Dacă dorim să aplicăm simultan la intrare două sinusoide, una având 300 Hz iar a doua 4 kHz, trebuie să aplicăm un semnal format din suma matematică a celor două sinusoide. Forma semnalului este

Page 32: curs_PNS

24 Procesarea Semnalelor Analogice

cea din figura 2.10. Dacă toate butoanele de reglaj vor fi la maxim, la ieşirea amplificatorului va apărea o tensiune similară cu cea de la intrare, dar de amplitudine mai mare.

Figura 2.10. Semnal rezultat prin amplificarea simultană a celor două sinusoide

d. Dacă acum se poziţionează butonul „reglaj frecvenţe înalte” la minim, atunci la ieşirea amplificatorului componenta de 4 kHz va fi foarte mică, iar componenta de frecvenţă joasă nu e afectată. Iată, deci, că acum amplificatorul are o comportare diferită faţă de cele două frecvenţe: „lasă să treacă” semnalul de frecvenţă joasă şi „nu lasă să treacă” semnalul de frecvenţă înaltă. Efectul poate fi uşor sesizat în figura 2.11.

Figura 2.11. Efectul atenuării frecvenţei ridicate.

e. Poziţionând butonul „reglaj frecvenţe joase” la minim, la ieşire componenta de 300 Hz va fi foarte mică, iar componenta de frecvenţă ridicată nu e afectată. Şi acum sesizăm comportarea diferită a amplificatorului faţă de cele două frecvenţe. De aceea semnalul de frecvenţă joasă trece "mai greu", iar cel de frecvenţă înaltă se propagă "mai uşor". Semnalul va arăta ca cel din figura 2.12.

Page 33: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 25

Figura 2.12. Efectul atenuării frecvenţei joase.

În concluzie la experimentul prezentat se poate spune că:

• Amplificatorul este un sistem liniar şi în cazul aplicării unor semnale ce conţin mai multe frecvenţe acestea sunt „tratate separat”, adică fiecare frecvenţă se propagă prin sistem fără a fi afectată, distorsionată de prezenţa alteia.

• Sistemul liniar (amplificatorul) are o comportare diferită (selectivă) pentru semnale de frecvenţe diferite aplicate la intrarea sa. Toate sistemele cu astfel de proprietăţi sunt denumite generic filtre analogice.

Experimentul făcut mai înainte poate fi uşor simulat pe calculator cu ajutorul unor programe precum Simulink, PSpice, EWBA etc. Ce anume face ca amplificatorul analizat să aibă această comportare de filtru analogic? Cum putem proiecta şi realiza sisteme liniare care să aibă o comportare dinainte stabilită faţă de semnalele analogice de frecvenţă diferită, atunci când sunt traversate de acestea? Pentru aflarea răspunsurilor la întrebările puse, vom apela la modelarea matematică a circuitului electric, aşa cum se va vedea în paragraful următor. 2.5. Filtre analogice realizate cu circuite electrice liniare Orice reţea care conţine elemente liniare de circuit, şi anume: rezistenţe (R), capacităţi (C) sau inductanţe (L), formează un sistem liniar pentru semnalul care se propagă prin acea reţea.

Page 34: curs_PNS

26 Procesarea Semnalelor Analogice

2.5.1. Rezolvarea circuitului în regim sinusoidal

Vom analiza pentru început circuite mult mai simple decât amplificatorul folosit în experimentul făcut anterior. Cu ajutorul unui aparat matematic simplu vom pune în evidenţă comportarea selectivă faţă de semnale de frecvenţă diferită, deci, comportamentul de filtru analogic. Vom alege ca exemplu trecerea semnalului sinusoidal printr-un circuit RC, având schema din figura 2.13.

u i (t) R C u 0 (t)

Figura 2.13. Filtru RC. Aşa cum am mai precizat, dacă semnalul de intrare este unul sinusoidal, atunci şi semnalul de ieşire va fi tot sinusoidal. Ştiind acest lucru, nu mai rămâne decât să determinăm amplitudinea şi faza sinusoidei de ieşire. Semnalul de la ieşirea sistemului diferă prin amplitudine şi fază faţă de semnalul de la intrare, de aceea putem spune că circuitul procesează semnalul sinusoidal de intrare. Pentru semnalele electrice există mai multe metode care permit determinarea exactă a amplitudinii şi fazei sinusoidei de ieşire atunci când se cunoaşte semnalul de intrare şi structura circuitului. O soluţie simplă este analiza circuitului utilizând metoda „calculului în complex”. Toate relaţiile, inclusiv teoremele lui Kirchhoff, se scriu „ca în curent continuu”, cu următoarele diferenţe: • toate mărimile electrice (tensiuni electromotoare, căderi de

tensiune, curenţi) sunt mărimi complexe, şi trebuie notate ca atare subliniindu-le cu o bară.

• impedanţele condensatoarelor sunt 1/jωC, iar ale bobinelor sunt jωL, unde ω este pulsaţia semnalului sinusoidal de la intrare.

Ui

RI

U0

I=0

C

Figura 2.14. Rezolvarea circuitului în regim armonic.

Page 35: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 27

Pentru fiecare din cele două ochiuri se scrie teorema a II-a a lui Kirchhoff. Deoarece curentul I nu se divide în nodul din circuit, avem:

=⋅=

+=⋅+⋅=

Cωj1IXIU

Cωj1RIXI RIU

c0

ci

(2.15)

Rezultă:

+=

+=

RC ωj1

1 U U

RC ωj1

C ωj U I

i0

i

(2.16)

Deci, am obţinut ca rezultat două numere complexe. Cum revenim la „lumea reală”? Cum arată în realitate cele două mărimi electrice? Ştiind că acestea sunt sinusoide, problema este uşor de rezolvat, fiindcă trebuie determinate doar amplitudinea şi faza acestora. Prin definiţie, acestea sunt:

CR ω1

U RC ωj1

1 U U U222

ii00max

+=

+⋅== (2.17)

RC)ω( arctg -

RCωj11Re

RCωj11Im

arctg 0 =

+

+

=ϕ (2.18)

Rezultă: u0(t) = U0max ⋅ sin (ω⋅t+ϕ0) (2.19)

„Realitatea” pe care tocmai am descoperit-o în relaţiile 2.17 şi 2.18, poate fi vizualizată cu ajutorul osciloscopului cu două canale. Pe primul canal se aduce tensiunea de la intrarea circuitului, iar pe al doilea canal, tensiunea de la ieşirea circuitului. În acest fel putem verifica imediat rezultatele investigaţiilor teoretice ale procesării semnalului analogic. Pentru o pulsaţie ω dată, forma celor două tensiuni, fie că o „desenăm” folosind rezultatele 2.17 şi 2.18, fie că o privim cu osciloscopul, este cea din figura 2.15.

Page 36: curs_PNS

28 Procesarea Semnalelor Analogice

t

u i (t)

u 0 (t)

U0 max

ϕ 0

Ui max

Figura 2.15. Forma tensiunii la ieşirea circuitului. 2.5.2. Caracteristica de frecvenţă

Se introduce mărimea Au numită amplificare. Ea se defineşte ca raport a două numere complexe, asociate tensiunilor de intrare (Ui) şi de ieşire (Uo).

UUA

i

0u = (2.20)

Efectuând calculele pentru exemplul ales, rezultă:

)(ω A RC ωj1

1 A uu =+

= (2.21)

Definiţie: Dependenţa amplificării |Au| de frecvenţă se numeşte caracteristică de frecvenţă.

Fiindcă amplificarea este în general un număr complex, vom analiza pe rând cele două informaţii oferite de relaţia 2.16, şi anume modulul caracteristicii de frecvenţă şi faza caracteristicii de frecvenţă.

a. Modulul caracteristicii de frecvenţă |Au|. Arată de câte ori este mai mare amplitudinea semnalului de la ieşirea filtrului decât amplitudinea semnalului de la intrarea sa.

)ω( A CR ω1

1 A u222u =+

= (2.22)

Aşa cum am accentuat în relaţia 2.22, modulul caracteristicii de frecvenţă este funcţie de ω, adică depinde de frecvenţa semnalului.

Page 37: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 29

Cel mai uzual mod de prezentare şi de analiză al modulului caracteristicii de frecvenţă îl constituie reprezentarea sa grafică, aşa ca în figura 2.16.

| A u|

f

1

1/ 2

(ω)

f T

Figura 2.16. Modulul caracteristicii de frecvenţă.

Din analiza formei graficului modulului caracteristicii de frecvenţă al circuitului din figura 2.13, rezultă câteva concluzii:

• Pentru semnalul de frecvenţă nulă (tensiune continuă), amplificarea este unitară, adică mărimea tensiunii de ieşire va fi egală cu cea a tensiunii de intrare. Se foloseşte expresia „semnalul trece neatenuat”

• Odată cu creşterea frecvenţei semnalelor sinusoidale de intrare amplificarea scade. Altfel spus, aducând la intrare sinusoide de frecvenţă mare, amplitudinea lor la ieşire va fi mai mică decât amplitudinea de la intrare. La limită, când frecvenţa tinde la infinit, amplitudinea la ieşire tinde la zero.

• Din cele spuse rezultă evident comportarea selectivă a circuitului analizat. Comportarea acestui tip de filtru este descrisă sintetic prin denumirea „filtru trece jos”, adică un filtru care permite trecerea sinusoidelor de frecvenţă joasă şi nu permite trecerea celor de frecvenţă înaltă.

• Exprimarea de mai sus, de tip „totul sau nimic”, nu este conformă cu realitatea în sensul că între cele două alternative, „frecvenţe care trec / frecvenţe care nu trec”,

Page 38: curs_PNS

30 Procesarea Semnalelor Analogice

există şi frecvenţe care trec având diferite grade de atenuare, aşa cum se vede din figura 2.16. De ce folosim totuşi o denumire de acest fel când realitatea este alta? O facem datorită unei convenţii extrem de utile în practică şi anume: se zice că semnalele pentru care amplificarea este mai mare decât 2/1 trec, iar semnalele pentru care amplificarea este mai mică decât 2/1 nu trec.

• Valoarea frecvenţei fT pentru care amplificarea are valoarea 2/1 se numeşte „frecvenţă de tăiere”.

2

1 CR ω1

1 )ω( A 222

T

Tu =+

= (2.23)

Rezultă: RC2π

1 f ; RC1 ω TT ⋅

==

• Intervalul de frecvenţă [0 − fT] se numeşte „bandă de trecere”

b. Faza caracteristicii de frecvenţă. Arată care este defazajul dintre sinusoida de la intrare şi cea de la ieşire.

ωRC) - ( arctgA ReA Im

arctg u

u ==ϕ (2.24)

ϕ

f- π /4

(ω)f T

- π /2

Figura 2.17. Faza caracteristicii de frecvenţă.

2.5.3. Caracteristica de frecvenţă exprimată în decibeli

Page 39: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 31

Amplificarea definită la 2.16 este adimensională din punct de vedere al unităţii de măsură. De regulă, pentru modulul caracteristicii de frecvenţă este folosită o altă definiţie, a cărei unitate de măsură este decibelul.

CRf4π1

1 log 20 A log 20 A 2222udB u

+⋅=⋅= (2.25)

Pentru exemplul ales, graficul este cel din figura 2.18, în care frecvenţa este reprezentată pe axa absciselor la scară logaritmică.

20⋅lg | A u|

f [Hz] 0 dB

- 3 dB scară logaritmică (lg f)

f T

102 103 104 101

20 dB

Figura 2.18. Modulul caracteristicii de frecvenţă în decibeli

Utilizarea unei scări logaritmice pentru frecvenţă are două avantaje importante: • permite vizualizarea unui spectru mult mai larg de frecvenţe decât

reprezentarea la scară proporţională; • permite aproximarea modulului caracteristicii de frecvenţă cu

ajutorul unor segmente de dreaptă. O astfel de aproximare se numeşte „caracteristică BODE”. Pentru a argumenta aceasta, este suficient să reluăm relaţia 2.21 pentru frecvenţe foarte mici şi pentru frecvenţe foarte mari.

dB 0 1

1 lg 20 A 0 f pt.dBu ==→ (2.26)

Astfel, aşa cum se vede din relaţia 2.22, pentru frecvenţe foarte mici, tinzând către zero, graficul poate fi aproximat cu o linie orizontală la nivelul 0 dB.

Page 40: curs_PNS

32 Procesarea Semnalelor Analogice

RCfπ2

1 lg 20 A f pt. dBu ≅∞→

)flg(20Konst )flg(20)π2lg(20 A dBu −=−−= RC (2.27)

Pentru frecvenţe mari, tinzând teoretic către infinit, graficul poate fi aproximat cu o linie dreaptă având o înclinaţie (pantă) negativă de −20dB / decadă, aşa cum este arătat în figura 2.18.

Graficul fazei caracteristicii de frecvenţă este similar cu cel din figura 2.17, cu singura diferenţă că frecvenţa se reprezintă la scară logaritmică. a. De ce se foloseşte decibelul?

Utilizarea acestei unităţi de măsură este legată de fiziologia sistemului auditiv uman. Pentru a arăta acest lucru, iată un exemplu simplu ilustrat în figura 2.19. Iniţial se aplică unui difuzor un semnal cu o putere de 1 W. Difuzorul va crea o anumită presiune acustică iar aceasta va produce ascultătorului un anumit nivel al intensităţii auditive. Dacă repetăm experienţa şi aplicăm difuzorului o putere de 100 W, în aceleaşi condiţii de ascultare şi randament al difuzorului, nu se va crea o senzaţie auditivă de 100 ori mai puternică ci doar de 10 ori (!).

1 W 100 W Figura 2.19. Referitor la intensitatea senzaţiei auditive. S-a descoperit empiric şi o relaţie matematică ce leagă intensitatea senzaţiei de intensitatea stimulului, relaţie cunoscută sub numele legea Weber-Fechner care arată că "intensitatea unei senzaţii subiective (de exemplu, cea auditivă) creşte proporţional cu logaritmul intensităţii stimulului (puterea acustică)".

Page 41: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 33

Aşadar, dacă trebuie să raportăm între ele cele două puteri, logaritmul raportului celor două puteri este mai apropiat de senzaţia auditivă, motiv pentru care îl vom folosi ca unitate de măsură.

Intensitateasenzatiei

Praguldurerii

Putereacusticã

Figura 2.20. Referitor la legea Weber-Fechner.

Aşa cum se observă din figura 2.20, aceleaşi diferenţe ale intensităţii senzaţiei sonore sunt cauzate de diferenţe diferite ale stimulului, la nivele diferite de intensitate. De aceea, este mai adecvat a utiliza ca unitate de măsură decibelul pentru mărimile destinate a fi percepute auditiv sau vizual. Există două modalităţi în care el este definit şi folosit: ca unitate de măsură relativă sau unitate de măsură absolută. b. Decibelul - unitate de măsură relativă

Prin definiţie, 1 bel [B] reprezintă logaritmul zecimal al raportului dintre puterea de ieşire P2 şi puterea de intrare P1 a unui sistem.

De regulă se foloseşte un submultiplu al acestuia şi anume decibelul [dB]:

[A ] = 10 logPP

= 10 lgPPP dB 10

2

1

2

1

(2.28)

Prin definiţie amplificarea în tensiune, exprimată în decibeli va fi:

[A ] = 20 logUU

= 20 lgUUU dB 10

2

1

2

1

(2.29)

Coeficientul 20 este datorat faptului că la un cuadripol, raportul puterilor este proporţionat cu pătratul raportului tensiunilor.

Page 42: curs_PNS

34 Procesarea Semnalelor Analogice

Exemplu numeric: Dacă considerăm un amplificator a cărui tensiune de intrare este de 10 mV, iar cea de ieşire este de 10 V, câştigul în tensiune al amplificatorului, exprimat în decibeli, va fi :

[A ] = 20 lgUU

= 20 lg10

10 dBU dB

2

1 - 2 = ⋅ =20 3 60

În decibeli se poate exprima şi atenuarea introdusă de un circuit. Spre exemplu, pentru un atenuator care are la intrare o tensiune de 1V iar la ieşire o tensiune de 0,01 V, atenuarea va fi:

dB 40)2(201

10lg 20= UUlg 20=][a

2 -

1

2dBU −=−⋅=

Iată în sinteză, un tabel de corespondenţe, uşor de reţinut, conţinând relaţia dintre amplificarea în valori naturale şi amplificarea exprimată în decibeli:

amplificare / atenuare Valori naturale Decibeli

1.000 60 100 40 10 20 1 0

0,1 −20 0,01 −40

0,001 −60

c. Decibelul - unitate de măsură absolută

În multe puncte de măsură ale unor circuite interesează de obicei valorile absolute ale tensiunilor sau puterilor. Pentru a putea exprima valoarea absolută a acestor mărimi în decibeli, trebuie aleasă o mărime de referinţă faţă de care se vor raporta mărimile de măsurat. • Prin convenţie, în domeniul electric se consideră de referinţă

puterea de 1 mW, disipată pe o sarcină de 600 Ω . Justificarea alegerii acestor valori este una de ordin istoric şi ţine de dezvoltarea telefoniei. Ca urmare a convenţiei amintite, pot fi uşor deduse valorile de referinţă pentru curent şi tensiune (P=I2⋅R).

Page 43: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 35

Astfel, tensiunea de referinţă este 0,7745 V, iar curentul de referinţă este de 1,29 mA.

Referinţe:

=≅

Ω==

mA 29,1IV 775,0U

600R

mW 1P

0

0

0

0 (2.30)

Spre exemplu, o tensiune care are valoarea de U1= 1V poate fi exprimată în decibeli, astfel:

dB 25,823 dB 2911,1200,7745

1lg20UUlg20U

0

1[dB]1 =⋅=⋅=⋅=

• În domeniul acustic, prin convenţie, nivelul de referinţă este considerat ca fiind presiunea sonoră de 2⋅10 -4 µbar. Astfel atunci când se afirmă că zgomotul produs de o instalaţie este de 100 dB, puterea acustică ce îl generează are valoarea P:

100 dB = 10 lgPP

=10 lgP

2 100 - 4⋅

⇒ P=2⋅106 µbar

Avantajul folosirii decibelului ca unitate de măsură este în primul rând acela că exprimarea amplificării (sau atenuării) este mult mai aproape de percepţia umană, precum şi faptul că atunci când avem lanţuri de transmisie a unui semnal, amplificarea globală se obţine simplu, adunând amplificările fiecărui etaj exprimate în decibeli.

2.5.4. Aplicaţii

Problema 2.1. O sursă de tensiune ideală (fără rezistenţă internă), furnizează la borne o tensiune sinusoidală u(t) având parametrii următori: amplitudinea 10 V, frecvenţa 1 kHz şi faza iniţială nulă.

• Scrieţi formula tensiunii u(t). • Care este perioada tensiunii u(t) ? • Care este pulsaţia tensiunii u(t) ? • Care este faza tensiunii u(t) ? • Faceţi graficul tensiunii u(t ) în raport cu timpul. • Faceţi graficul tensiunii u(t ) în raport cu faza.

Page 44: curs_PNS

36 Procesarea Semnalelor Analogice

Problema 2.2. Graficele a două tensiuni sunt cele din figura următoare. Să se scrie expresiile analitice ale acestora.

t [ms]

u 1 (t)

u 2 (t) 20

2,5

u (t) [V]

70

43

Figura 2.21. Legat de problema 2.2.

Problema 2.3. Urmând exemplul de la 2.5.2, să se traseze caracteristicile de frecvenţă (modul şi fază), ale următoarelor circuite, ştiind că : R1= 1kΩ; R2= 2kΩ; R3= 3kΩ; C = 1μF; L = 1 mH;

R1 R2 u i (t) u 0 (t)

C R2

u i (t) u 0 (t)

a. b.

C R1 R2

u i (t) u 0 (t)

R1 R2

C u i (t) u 0 (t)

c. d.

R1 u i (t) u 0 (t) R2 C

R1 R2

C u i (t) u 0 (t) R3

e. f.

Page 45: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 37

L R u i (t) u 0 (t)

R1 R2 u i (t) u 0 (t) L

g. h.

Figura 2.22. Circuite propuse pentru problema 2.3.

Problema 2.4. Pentru circuitele din problema precedentă, în funcţie de forma caracteristicii, să se stabilească caracterul de filtru trece jos, filtru trece sus, filtru trece bandă, filtru opreşte bandă.

Problema 2.5. Cum se modifică forma caracteristicii de frecvenţă dacă ieşirea circuitelor din problema precedentă nu mai este în gol, ci este legată la intrarea unui alt circuit, care are o rezistenţă de intrare Ri?

Problema 2.6. La intrarea circuitului b. din problema 2.3 se conectează un generator ideal de tensiune sinusoidală (cu rezistenţă de ieşire nulă), care furnizează o tensiune pur sinusoidală cu amplitudinea de 1V şi frecvenţa de 1kHz. Desenaţi la scară, cum se văd oscilografiate simultan, tensiunile ui(t) şi u 0(t) pe un osciloscop cu două spoturi, folosindu-vă numai de indicaţiile pe care vi le oferă caracteristicile de amplitudine şi de fază.

Problema 2.7. La intrarea circuitului c. din problema 2.3 se conectează un generator ideal de tensiune sinusoidală (cu rezistenţă de ieşire nulă), care furnizează o tensiune pur sinusoidală cu amplitudinea de 1V şi frecvenţa de 1kHz. Să se determine tensiunea de ieşire folosind metoda fazorială. Coincide rezultatul cu cel oferit de caracteristica de frecvenţă?

Problema 2.8. La intrarea circuitului din problema 2.3 se aduce o tensiune de intrare dreptunghiulară, furnizată de un generator de semnal. Care formulare este corectă?

• Tensiunea de ieşire va fi tot dreptunghiulară; • Tensiunea de ieşire va fi sinusoidală, fiindcă circuitul este liniar; • Tensiunea de ieşire nu va fi dreptunghiulară; • Nu va exista tensiune de ieşire, fiindcă prin circuitul liniar nu se

propagă decât semnalele sinusoidale.

Problema 2.9. De ce se acordă o “importanţă aparte” semnalelor sinusoidale?

Page 46: curs_PNS

38 Procesarea Semnalelor Analogice

Problema 2.10. Se dă tensiunea u(t) = 10 + 2sin (100πt); • Care este componenta continuă şi care este cea alternativă? • Desenaţi cum se vede pe osciloscop tensiunea u(t) în regim AC şi

DC.

Problema 2.11. Ce tip de circuit (filtru) se poate folosi pentru a transforma o tensiune dreptunghiulară fără componentă continuă având factor de umplere 0,5 într-o tensiune sinusoidală, de aceeaşi perioadă?

Problema 2.12. Ce tip de circuit (filtru) se poate folosi pentru a transforma o tensiune dreptunghiulară cu componentă continuă având factor de umplere 0,5 într-o tensiune sinusoidală, de aceeaşi perioadă?

Problema 2.13. Desenaţi caracteristicile de amplitudine şi fază, în valori naturale şi în decibeli, pentru următoarele funcţii de transfer: a).

1

j1

100)H(j

ωω

+=ω

b). ω+

ω=ω

j1j)H(j

Problema 2.14. Se dă tensiunea: u(t) = 10 + 2 sin (100πt) + 10sin (200πt); • desenaţi la scară tensiunea u(t) în raport cu timpul. • desenaţi spectrul tensiunii u(t). • se trece tensiunea u(t) printr-un filtru trece jos ideal având frecvenţă

de trecere Ft= 150Hz. Scrieţi expresia tensiunii de la ieşirea filtrului. • desenaţi spectrul semnalului de la ieşirea filtrului.

Problema 2.15. Se dă semnalul

[ ] t)πkcos(100t)πksin(100,1 u(t) 10

1k∑

=

⋅⋅⋅+⋅⋅⋅⋅= [V]

• desenaţi spectrul tensiunii u(t). • Care trebuie să fie banda de trecere a unui amplificator care să

amplifice semnalul fără a-l distorsiona?

Problema 2.16. Să se deseneze spectrele de frecvenţă ale semnalelor:

[ ] t)πksin(100,1 (t)u 10

1k1 ∑

=

⋅⋅⋅⋅=

[ ] t)πkcos(100,1 (t)u 10

1k2 ∑

=

⋅⋅⋅⋅=

Page 47: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 39

Problema 2.17. Circuitul următor are o comportare selectivă faţă de semnale de intrare de frecvenţă diferită.

R1k

C1 µF

ui(t) uOUT(t)

• Desenaţi pe acelaşi sistem de axe tensiunile de intrare şi de ieşire dacă

tensiunea de intrare este sinusoidală având amplitudinea de 1 V şi frecvenţa de 10 Hz.

• Desenaţi pe acelaşi sistem de axe tensiunile de intrare şi de ieşire dacă tensiunea de intrare este sinusoidală având amplitudinea de 1 V şi frecvenţa de 10 kHz. Faceţi o comparaţie cu cazul precedent.

• Trasaţi caracteristica de frecvenţă a circuitului. Ce tip de filtru reprezintă?

• Trasaţi caracteristica de fază a circuitului. • Trasaţi diagrama Bode a circuitului. Problema 2.18. Pentru circuitul din problema precedentă, să se traseze pe acelaşi sistem de axe graficele tensiunilor de intrare şi de ieşire pentru situaţia în care tensiunea de intrare este o tensiune treaptă cu amplitudinea de 1 V, începând de la momentul t = 0. Problema 2.19. Pentru circuitul din problema precedentă, să se traseze pe acelaşi sistem de axe graficele tensiunilor de intrare şi de ieşire pentru situaţia în care tensiunea de intrare este o tensiune dreptunghiulară cu factor de umplere ½, o perioadă de 100 ms şi amplitudinea de 1 V. Problema 2.20. Unui redresor bialternanţă i se aduce la intrare o tensiune sinusoidală. La ieşirea sa el furnizează modulul tensiunii de intrare. • Ce fel de filtru trebuie folosit după redresor, pentru ca tensiunea de

ieşire a redresorului să treacă nedeformată mai departe prin filtru? • Cum trebuie setat un osciloscop pentru a putea afişa corect tensiunea de

la ieşirea redresorului?

Page 48: curs_PNS

40 Procesarea Semnalelor Analogice

2.6. Teorema Fourier în timp continuu În cele ce urmează, vom asocia sintagmei „domeniul frecvenţă” mulţimea tuturor tensiunilor sinusoidale, având frecvenţa cuprinsă între zero şi infinit. 2.6.1. Teorema Fourier în domeniul real Teorema Fourier are o importanţă deosebită pentru studiul semnalelor de variabilă reală şi al circuitelor pe care acestea le parcurg. Enunţul ei este următorul:

Orice funcţie u(t), continuă şi periodică, având perioada

0

2

0

10

ω

π==

FT poate fi exprimată ca sumă dintre o componentă

continuă plus o infinitate de funcţii armonice, şi anume:

[ ]∑∞

=

⋅+⋅+=1k

0K0K0 t)ωcos(kBt)ωsin(kAUu(t) (2.31)

Enunţul teoremei spune, de fapt, că tensiunea periodică u(t ) este compusă (constituită) din tensiuni sinusoidale, pe care le vom numi componente sinusoidale (componente armonice). Aceste componente au următoarele proprietăţi: • U0 este numită „componenta continuă”. Ea poate fi privită şi ca o

componentă sinusoidală de frecvenţă nulă. Se calculează ca valoare medie a funcţiei u(t):

∫ ⋅⋅=T

00 dtu(t)

T1U (2.32)

• armonica de ordinul 1 (k=1), numită şi "armonica fundamentală", are perioada egală cu T0, deci chiar perioada funcţiei u (t);

• armonicile superioare au frecvenţa multiplu întreg al frecvenţei de bază a funcţiei periodice;

• mulţimea acestor funcţii armonice se numeşte în matematică "serie Fourier";

• mulţimea acestor funcţii armonice se numeşte în electrotehnică „spectrul de frecvenţă al tensiunii u(t)”;

• amplitudinile armonicilor descresc spre zero atunci când frecvenţa tinde la infinit. Relaţiile de calcul care permit aflarea valorii

Page 49: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 41

amplitudinilor armonicilor, respectiv a coeficienţilor Ak şi Bk sunt următoarele:

⋅⋅⋅=

⋅⋅⋅=

dt)tωcos(ku(t)B

dt)tω(ksinu(t)A

T

00k

T

00k

T

2

T

2

(2.33)

Folosind câteva egalităţi trigonometrice, relaţia 2.31 se poate scrie sub o formă mai condensată. Astfel, dacă se forţează factor comun Ak şi apoi se face substituţia:

)cos()(sin )tg(

AB

k

kk

k

k

ϕϕ

ϕ == ,

atunci teorema Fourier se poate scrie sub forma:

[ ]∑∞

=

⋅+⋅+=1k

0k0k0 t)ωcos(k)tg(t)ωsin(kAUu(t) ϕ

[ ]∑∞

=

⋅+⋅⋅+=1k

0k0kk

k0 t)ωcos(k)sin(t)ωsin(k)cos(

)cos(AUu(t) ϕϕ

ϕ

( )∑∞

=

+⋅+=1k

k0k

k0 tωksin

)cos(AUu(t) ϕ

ϕ (2.34)

Factorul Ak / cos(ϕk) se poate scrie altfel, ţinând cont că funcţia cosinus se poate exprima în funcţie de funcţia tangentă:

)(tg1

1)cos(k

2kϕ

ϕ+

= ,

rezultă: 2k

2k2

k

2k

kk2

kk

k BAAB

1A)(tg1A)cos(

A+=+⋅=+⋅= ϕ

ϕ

Înlocuind în 2.34, teorema Fourier se poate scrie astfel:

( )∑∞

=

+⋅+=1k

k0k0 tωksinS Uu(t) ϕ , (2.35)

unde:

=

+=

k

kk

2k

2kk

ABarctg

BAS

ϕ (2.36)

Page 50: curs_PNS

42 Procesarea Semnalelor Analogice

Relaţiile 2.31 şi 2.35 sunt echivalente. Le vom numi astfel:

• relaţia 2.31 – formularea de bază a teoremei Fourier; • relaţia 2.35 – a doua formulare a teoremei Fourier.

t

U0 = 0 u1 (t) = 10 sin (2π f0 t) u2 (t) = 5 sin (2π 2f0 t + π/8) u3 (t) = 2,5 sin (2π 3f0 t + 2π/8) u4 (t) = 1,25 sin (2π 4f0 t + 3π/8) u5 (t) = 0,6 sin (2π 5f0 t + 4π/8)

u(t)

T = 1 / f0

u(t) ≈ U0 + u1 (t) + u2 (t) + u3 (t) + u4 (t) + u5 (t)

Figura 2.22. Descompunerea unui semnal periodic „în sinusoide”.

În figura 2.22 este ilustrat modul în care trebuie privită descompunerea unui semnal periodic în semnale sinusoidale. În acest exemplu se observă că, însumând componenta continuă şi primele cinci armonici, se obţine semnalul iniţial cu o anume

Page 51: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 43

aproximaţie. Evident, cu cât se vor însuma mai multe armonici cu atât mai mult rezultatul se apropie de semnalul iniţial u(t ). În ipoteza că funcţia u(t), care a făcut obiectul descompunerii cu ajutorul teoremei Fourier, este o tensiune, atunci este evident din relaţiile 2.35 şi 2.36 că unitatea de măsură a coeficienţilor Ak , Bk şi Sk este 1Volt. 2.6.2. Teorema Fourier în electronică

Ceea ce se ascunde în spatele relaţiei 2.35 este o realitate cu extrem de mari implicaţii în electronică, în studiul circuitelor, în studiul semnalelor în general şi în mod special în înţelegerea comportării circuitelor faţă de semnalele care le traversează. Acest fapt este intuitiv ilustrat în figura 2.23. Aici, un circuit electric liniar (format doar din R, L, C) este alimentat de la o sursă de tensiune periodică nesinusoidală, de o formă cunoscută. Se pune problema rezolvării circuitului, adică a aflării formei şi mărimii tuturor curenţilor ce străbat laturile reţelei electrice. Prima problemă este aceea a determinării formei de variaţie a curenţilor şi pentru aceasta trebuie să ne reamintim un lucru extrem de important:

Semnalul sinusoidal este singurul din natură carese propagă nedistorsionat prin sisteme liniare!

Ţinând cont de aceasta, ne explicăm de ce se începe studiul circuitelor cu regimul sinusoidal. Este cel mai uşor de rezolvat, fiindcă se ştie că, dacă sursa de tensiune este sinusoidală, atunci, conform acestui mare adevăr, toţi curenţii din circuit vor fi sinusoidali: mai mari sau mai mici, defazaţi mai mult sau mai puţin, dar tot sinusoidali. În schimb, dacă sursa de tensiune nu este sinusoidală, ci are o formă periodică nesinusoidală, atunci curenţii prin circuit sigur nu vor avea forma cauzei care i-a determinat. Pentru a afla forma curenţilor în acest caz, vom apela la teorema Fourier.

Page 52: curs_PNS

44 Procesarea Semnalelor Analogice

u (t)

S1 sin (ω t+ϕ1)

S2 sin (2ω t+ϕ2)

S3 sin (3ω t+ϕ3)

U0

Sn sin (n ω t+ϕn)

i (t)

i (t)

R,L,C R,L,C

Figura 2.23. Referitor la teorema Fourier. Având o sursă de tensiune u(t), periodică, ce alimentează un circuit, situaţia este echivalentă cu aceea în care circuitul ar fi alimentat cu mai multe generatoare legate în serie, dintre care: • primul este reprezentat de o sursă de tensiune continuă având

valoarea egală cu componenta continuă a semnalului u(t ); • celelalte sunt o infinitate de surse cu t.e.m. sinusoidale având

amplitudinile egale cu valorile coeficienţilor Sk rezultate din relaţia 2.36 şi defazajele ϕk rezultate din aceeaşi relaţie.

În continuare, metoda de rezolvare se bazează pe principiul superpoziţiei cu ajutorul căruia se vor determina toate valorile componentelor curentului prin reţea: o componentă de curent continuu plus curenţi armonici datoraţi tuturor surselor armonice. Conform reciprocei teoremei Fourier, prin însumarea tuturor acestor componente, va rezulta tot o formă de variaţie în timp cu perioada de bază egală cu perioada de bază T0 a tensiunii u(t). Putem remarca încă o dată importanţa cu totul specială a semnalelor sinusoidale. Alături de faptul că ele se propagă prin sisteme liniare fără a-şi modifica forma, iată că am arătat, cu ajutorul teoremei Fourier, faptul că semnalele sinusoidale reprezintă „cărămizile” din care sunt construite oricare alte semnale.

Page 53: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 45

2.6.3. Spectrul real al unui semnal periodic real

Se numeşte spectru de frecvenţă al tensiunii u(t) mulţimea armonicilor (mulţimea "sinusoidelor") în care tensiunea u(t) poate fi descompusă, conform relaţiei 2.35.

În exemplul următor este ilustrată relaţia dintre un semnal şi spectrul său de frecvenţă:

u(t) = U0 + S1 ⋅sin (2π f0 +π /4)+ + S2 ⋅sin (2π 2f0 +π /2)+

+ S3 ⋅sin (2π 3f0 +3π /4)+ + S4 ⋅sin (2π 4f0 +π )+…………..

π

ϕ k

ff0 2f0 3f0 4f0

u(t)

t

T = 1 / f0

|Sk |

ff0 2f0 3f0 4f0

S1

S2U0

nf0

Figura 2.24. Exemplu de spectru al unei tensiuni periodice.

Page 54: curs_PNS

46 Procesarea Semnalelor Analogice

Deoarece fiecare armonică din spectru (sinusoidă sau cosinusoidă) este determinată de doi parametrii, amplitudine şi fază, spectrul se va reprezenta sub forma a două grafice separate numite: • spectrul de amplitudini – grafic ce arată valoarea amplitudinii

tuturor armonicilor în care se descompune semnalul u(t); • spectrul de fază – grafic ce arată valoarea fazei iniţiale a tuturor

armonicilor. Observaţii

• Spectrul semnalului din figura 2.24 este unul generic, valorile coeficienţilor Sk şi ale fazei iniţiale nefiind calculate numeric exact;

• Spectrul unui semnal periodic este discontinuu. El nu are valori decât în puncte bine definite, multiplu întreg al frecvenţei fundamentale;

• Spectrul unui semnal este într-o relaţie biunivocă cu semnalul de la care provine. În funcţie de valorile amplitudinilor şi fazelor armonicilor specifice fiecărui semnal, spectrul poate fi considerat "o amprentă" a semnalului, utilă în procesul de recunoaştere.

• În practică, orice calcul în care sunt implicate serii infinite se trunchiază. Astfel, în relaţia 2.35, se vor lua în considerare, doar primele N componente spectrale, caz în care relaţia devine una aproximativă:

( )∑=

+⋅+≅N

1kk0k0 tωksinS Uu(t) ϕ (2.35’)

Ţinând cont de aceasta, teorema Fourier definită la 2.31, poate fi nuanţată astfel: tensiunea u(t ) poate fi suficient de bine descrisă de primii N termeni, respectiv tensiunea u (t) poate fi suficient de bine refăcută din primele N componente spectrale ale sale. Desigur că aproximarea este cu atât mai bună cu cât N este mai mare.

Deşi pare o limitare a posibilităţii de exprimare exacte a tensiunii u(t), acest fapt este important dacă este analizat din alte puncte de vedere. Spre exemplu, în domeniul compresiei de date, trunchierea relaţiei 2.35, permite exprimarea condensată a tensiunii u (t), cu doar N perechi de numere, şi anume: N amplitudini şi N faze, corespunzătoare primelor N componente spectrale. Cu cât N este mai mic, cu atât este mai mare compresia de date realizată.

Page 55: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 47

2.6.4. Aplicaţii Problema 2.20. Să se determine spectrul de frecvenţă al tensiunii u(t) din figura 2.24, tensiune având perioada T şi definită astfel:

[ ]

2Ttt

2T t pentru 0

t t- t pentru 1 u(t)

11

11

÷∪

÷−∈

÷∈=

Rezolvare:

T2tt

ωkT1dt1

T1dtu(t)

T1U 1

t1

t10

t1

t1-

T/2

T/2-0

1-=⋅⋅=⋅⋅=⋅⋅=

−∫∫

0 t)ωcos(kωk

dt)tω(ksin1dt )tω(ksinu(t)A t1

t10

0

t1

t1-0

T

00k

1-

T

2

T

2

T

2=⋅⋅=⋅⋅⋅=⋅⋅⋅=

−∫∫

t)ωsin(kωk

dt)tω(kcos1dt )tω(kcosu(t)B t1

t10

0

t1

t1-0

T

00k

1

T

2

T

2

T

2

⋅⋅=⋅⋅⋅=⋅⋅⋅= ∫∫

⋅⋅⋅=⋅⋅=

⋅⋅ Ttπ2ksin)tω(ksin2B 1

10k πk

2

π2k

T

T

2

k2k

2kk BBAS =+=

0Barctg

ABarctg k

k

kk ===ϕ

Rezultă: ∑∞

=

+⋅

⋅⋅⋅+=

⋅1k0

11

2πtωksin

Ttπ2ksin

T2tu(t)

πk

2

Rezultatul este prezentat şi sub formă grafică în figura 2.25.

Page 56: curs_PNS

48 Procesarea Semnalelor Analogice

|Sk |

f

π

ϕ k

f

f0 2f0 3f0 4f0

2/π

0

u(t)

t

U0

T0 = 1 / f0

-T0/2 T0/2t1-t1

00

2/3π

2/5π

5f0

f0 2f0 3f0 4f0 5f0

Figura 2.25. Spectrul de amplitudini şi spectrul de fază al tensiunii u(t) de la problema 2.9.

Observaţii:

• Deoarece toţi coeficienţii Ak sunt nuli, rezultă că tensiunea u(t ) se exprimă doar ca sumă de „cosinusuri”, în prima formă a teoremei Fourier. De altfel, acelaşi rezultat este consemnat şi în rezultatul problemei, ţinând cont că sin(α+π/2) = cos (α);

• Faza iniţială a tuturor armonicilor este constantă, egală cu π/2; • Amplitudinea armonicilor este dată de valoarea coeficienţilor Sk; • Pentru k → ∞, Sk → 0, deci amplitudinea armonicilor de ordin

foarte mare (de frecvenţă foarte mare), tinde către zero; • Coeficienţii Sk depind de valoarea t1. În figura 2.25 este arătat

spectrul de amplitudini şi spectrul de fază al tensiunii u (t), pentru cazul t1 = T/4;

• Cum arată spectrul pentru cazul t1 = T/8? Dar pentru t1 = T/16?

Page 57: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 49

Problema 2.21. Pentru semnale având forme consacrate, spectrele de frecvenţă sunt cunoscute din literatura de specialitate. Astfel, pentru semnalul rezultat în urma redresării monoalternanţă, descompunerea în serie de armonici este următoarea:

⋅+⋅−⋅

⋅+⋅+= ...- t)ωcos(6

5.72t)ωcos(4

3.52t)ωcos(2

312t)ωcos(

2π1

πU

u 0(t)

a. Să se aducă relaţia de mai sus, la prima formă a teoremei

Fourier; b. Să se exprime condensat utilizând simbolul Σ; c. Să se deseneze tensiunea u(t) şi spectrul său de frecvenţă.

Problema 2.22. Să se deseneze spectrele de frecvenţă (amplitudine şi fază), ale semnalelor:

a. u(t) = 10 + 2 sin (100πt) + 10sin (200πt);

b. [ ] t)πksin(100,1 u 10

1k(t) ∑

=⋅⋅⋅⋅=

c. [ ] t)πkcos(100,1 u 10

0k(t) ∑

=⋅⋅⋅⋅=

d. [ ] t)πkcos(100t)πksin(100,1 u 10

1k(t) ∑

=⋅⋅⋅+⋅⋅⋅⋅=

Problema 2.23. Să se determine spectrul de frecvenţă al următoarelor tensiuni periodice nesinusoidale. Toate au perioada T, şi sunt descrise de relaţiile următoare:

• [ ]( )

T t t pentru 0 t 0 t pentru 1

u1

1(t)

÷∈÷∈

=

2T

4T

4T

2T t pentru 0

4T

4T t pentru

Tt π2cos

u(t)

÷∪

−÷−∈

÷−∈

=

• [ ]( )

T t t pentru 0 t 0 t pentru t

u(t)1

1

÷∈

÷∈=

Page 58: curs_PNS

50 Procesarea Semnalelor Analogice

• T

2T t pentru 0

2T 0 t pentru

Tt 2πsin

u(t)

÷∈

÷∈

=

Problema 2.24. Plecând de la relaţia de calcul a coeficienţilor Fourier, să se compare spectrul de frecvenţă al unei tensiuni pare cu cel al uneia impare. Problema 2.25. Se dă semnalul următor:

U(t)[V]

t

[ms]10 20 30 40 50

10

-10 • Care va fi frecvenţa armonicii fundamentale rezultate prin

descompunerea cu ajutorul teoremei Fourier? • Desenaţi-o pe acelaşi sistem de axe ca şi semnalul original. • Care va fi frecvenţa armonicii a doua? Dar a armonicii a n-a? • Care este valoarea componentei continue? • Desenaţi spectrul de frecvenţă al semnalului. • Dacă tensiunea u(t) se aduce la intrarea unui filtru trece jos ideal

având frecvenţa de trecere fT = 70 Hz, ce formă va avea tensiunea de la ieşire?

Problema 2.26. Se dă semnalul următor:

U(t)[V]

t

[ms]5 15 25 35 45-5

10

-10

Page 59: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 51

• Care va fi frecvenţa armonicii fundamentale rezultate prin descompunerea cu ajutorul teoremei Fourier?

• Desenaţi-o pe acelaşi sistem de axe ca şi semnalul original. • Care va fi frecvenţa armonicii a doua? Dar a armonicii a n-a? • Care este valoarea componentei continue? • Desenaţi spectrul de frecvenţă al semnalului. • Dacă tensiunea u(t) se aduce la intrarea unui filtru trece bandă ideal

având frecvenţele de trecere fT1 = 30 Hz, şi fT2 = 80 Hz, ce formă va avea tensiunea de la ieşire?

Problema 2.27. Se dă semnalul următor:

U(t)[V]

t

[ms]10 20 30 40 50

10

20

30

• Care va fi frecvenţa armonicii fundamentale rezultate prin

descompunerea cu ajutorul teoremei Fourier? • Desenaţi-o pe acelaşi sistem de axe ca şi semnalul original. • Care va fi frecvenţa armonicii a doua? Dar a armonicii a n-a? • Care este valoarea componentei continue? • Desenaţi spectrul de frecvenţă al semnalului. • Dacă tensiunea u(t) se aduce la intrarea unui filtru trece jos ideal

având frecvenţa de trecere fT = 70 Hz, ce formă va avea tensiunea de la ieşire?

Problema 2.28. Se dau două semnale dreptunghiulare simetrice faţă de axa timpului, având factorul de umplere 0,5 (ca şi cel din problema 2.25). Primul semnal are perioada 20 ms iar al doilea 200 ms. Care va fi diferenţa dintre spectrele celor două semnale?

Page 60: curs_PNS

52 Procesarea Semnalelor Analogice

2.6.5. Teorema Fourier în domeniul complex Teorema Fourier poate fi transferată şi în mulţimea numerelor complexe. De ce este nevoie de aşa ceva, când în realitate, un semnal ca cel furnizat de un microfon sau de cele două fire de la ieşirea unui generator de tensiune, este unul real şi nu unul complex? Motivele pentru care este utilă o astfel de abordare teoretică, sunt următoarele:

• Între „o sinusoidă” şi un număr complex există o relaţie biunivocă, în sensul că fiecărei sinusoide reale îi corespunde un număr complex, şi fiecărui număr complex, îi corespunde o sinusoidă:

A⋅sin(ϕ) ⇔ A⋅e jϕ (2.36)

• Ambele sunt perfect definite de două mărimi, cu semnificaţii similare: amplitudinii şi fazei sinusoidei îi corespund amplitudinea şi faza numărului complex.

• Este un instrument matematic ce poate trata global mărimi definite de două elemente, precum cele două amintite anterior.

Pentru a ajunge la exprimarea în domeniul numerelor complexe a teoremei Fourier, se pleacă de la formula lui Euler:

e jωt = cos(ωt) + j sin(ωt) (2.37)

Exponenţiala complexă e jωt reprezintă un mod de a descrie un vector de lungime unitară, care se învârte cu viteza unghiulară ω în sens direct trigonometric, în planul complex, aşa cum este ilustrat în figura următoare:

Re

Im

α =ωt 1

j

-1

-j

e jωt

Figura 2.26. Exponenţiala complexă şi planul complex.

Page 61: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 53

Atât din relaţia 2.37, cât şi din figura 2.26, se observă că un semnal sinusoidal real de amplitudine unitară poate fi exprimat din numărul complex astfel:

u(t) = Im[e jωt] (2.38) Cu ajutorul formulei Euler se obţine:

2

eet)ωcos(ωtjωtj −+

= ; 2j

eet)ωsin(ωtjωtj −−

= (2.39)

Dacă se înlocuiesc în 2.31 se obţine succesiv:

[ ] =⋅+⋅+= ∑∞

=1k0K0K0 t)ωcos(kBt)ωsin(kAUu(t)

= =

+⋅+

−⋅+ ∑

=

−−

2eeB

2jeeA U

1k

tωjktωjk

K

tωjktωjk

K0

0000

= =

+−+

++ ∑

=

e2

B2j

Ae2

B2j

AU1k

tωjk-KKtωjkKK0

00

e2

jA B e2jA- B U

1k

tωjk-KK

1k

tωjkKK0

00 ∑∑∞

=

=

+

+⋅

+=

⇒ ∑∑−

−∞=

=

+

+⋅

+=

1

k

tωjkKK

1k

tωjkKK0

00 e2

jA B e2jA- BUu(t) (2.40)

Ţinând cont de cele arătate, teorema Fourier se poate generaliza în domeniul complex astfel:

tωjk k

0eCu(t) ⋅= ∑∞=

−∞=

k

k (2.41)

unde: C0 = U0 (2.42)

0kpentru 2jA- B C KK

k >= (2.43)

0kpentru 2

jA B C KKk <

+= (2.44)

Page 62: curs_PNS

54 Procesarea Semnalelor Analogice

Plecând de la definiţia coeficienţilor Ak şi Bk, se pot stabili şi relaţii de calcul directe pentru Ck:

dt)tω(ksinu(t)j -dt )tω(kcosu(t) 2jA- B C

T

00

T

00

KKk T

1

T

1⋅⋅⋅⋅⋅⋅⋅== ∫∫ (2.45)

[ ] dt eu(t) dtt)ω(ksinj dt t)ω(kcosu(t) CT

0

tωk jT

000k

0

T

1

T

1∫∫ −⋅⋅=⋅⋅−⋅⋅⋅= (2.46)

În concluzie, generalizarea teoremei Fourier în domeniul complex este:

tωk j k

0eCu(t) ⋅= ∑∞=

−∞=

k

k (2.47)

dt eu(t) CT

0

tωk jk

0

T

1∫ −⋅⋅= (2.48)

Relaţia 2.47 este numită în literatura de specialitate „sinteză Fourier”, fiindcă ea ne arată din ce se compune semnalul u(t), iar relaţia 2.48 este numită „analiză Fourier”, fiindcă ea ne arată în ce se descompune semnalul u(t). Iată deci că extinderea teoremei Fourier în domeniul complex a produs exprimări condensate, sintetice, aşa cum rezultă din relaţiile de mai sus. Privind formulările 2.47 şi 2.48, nu se poate să nu ne punem o întrebare legată de faptul că în suma cu care se exprimă u (t) apar atât frecvenţe pozitive cât şi negative. Ce este conceptul de „frecvenţă negativă” ? Este un al doilea motiv care poate induce puţină teamă faţă de forma complexă a teoremei Fourier. Dificultatea este generată de faptul că suntem tributari experienţei noastre legată de lumea reală în care trăim şi în care nu percepem decât frecvenţele pozitive. Matematic însă, frecvenţa negativă are ca semnificaţie faptul că vectorul din figura 2.26 se învârte în sens invers, adică în sens invers trigonometric, în planul complex. Teorema Fourier pentru domeniul complex trebuie privită ca un instrument matematic extrem de compact, uşor de folosit şi de implementat în programe de prelucrare a semnalelor cu ajutorul calculatorului, iar atunci când vrem să revenim „în lumea semnalelor reale”, aceasta se face simplu, luând doar partea reală a coeficienţilor relaţiei 2.47, adică:

Page 63: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 55

k j kk eCC ϕ⋅= (2.49)

k2k

2kk C2BA S ⋅=+= (2.50)

kkk

kk C)arg(C

ABarctg ∠===ϕ (2.51)

2.6.6. Spectrul complex al unui semnal periodic real

Trebuie menţionat că mulţimea coeficienţilor Ck, având valori complexe, reprezintă spectrul complex al semnalului periodic u(t). La fel ca şi în cazul teoremei Fourier în domeniul real, spectrul este unul discret, dar spre deosebire de primul, acum el are valori complexe şi cuprinde atât domeniul frecvenţelor pozitive cât şi pe cel al frecvenţelor negative. 2.7. Transformarea Fourier directă şi inversă, în timp continuu

2.7.1. De ce se folosesc transformări?

Ideea de a transforma o anumită structură de date nu este nouă. Să luăm spre exemplu un fişier text în limba română, fişier care oferă foarte clar cunoscătorului de limba română anumite informaţii. Putem traduce textul în limba engleză. Dacă studiem structura celor două fişiere, constatăm că vor fi diferite ca şi conţinut, dar identice din punctul de vedere al informaţiei conţinute, adică transmit aceleaşi informaţii cunoscătorului limbii engleze. La o traducere corectă din engleză în română, putem reface fişierul iniţial. Refacerea poate fi identică cu originalul, şi atunci este limpede că prin cele două transformări nu s-a pierdut informaţie, sau poate fi puţin diferită, când refacerea fişierului se face cu pierderea anumitor informaţii. De ce se fac traduceri (transformări) de structuri de date?

a. Pentru a putea fi înţelese de cei care nu înţeleg informaţia în prima ei formă;

b. Pentru criptare, în cazul în care dorim ca informaţia să nu mai fie înţeleasă de alţi posibili receptori ai ei;

Page 64: curs_PNS

56 Procesarea Semnalelor Analogice

c. Pentru a obţine o structură mai mică (compresie de date). În acest caz, putem face transformarea (traducerea) în „limbi” pe care nu le înţelege nimeni, cu condiţia să ştim să refacem înapoi informaţia;

d. Pentru a obţine o nouă structură de date, care să permită identificarea mai uşoară a unor trăsături specifice semnalului, pe care să le folosim apoi în scopuri bine definite, cum ar fi recunoaşterea vorbirii, identificarea formelor, etc.

O remarcă importantă: spre deosebire de funcţie, care face ca unui element din domeniul de definiţie să-i corespundă un singur element din domeniul de valori, prin transformare o mulţime (secvenţă) de date este transformată într-o altă mulţime de date, fiecare element al noului domeniu conţinând, de regulă, informaţii de la toate elementele primului domeniu. Una dintre cele mai importante transformări ale semnalelor este cea în care o secvenţă de date dintr-un semnal raportat la domeniul timp, este transformată într-o secvenţă de date în domeniul frecvenţă. Fiindcă este comun simţurilor şi percepţiilor umane, ne este uşor să înţelegem semnalele din lumea înconjurătoare ca fiind funcţii de timp. Percepem ca „evident” modul de evoluţie al unui semnal în raport cu timpul, dacă el suferă schimbări, pe durata cât este observat. Nu ştiu dacă, în sens filozofic, relaţia nu este cumva în sens invers şi anume oamenii percep derularea timpului doar datorită existenţei semnalelor. Dinamica semnalelor este cea care în fapt marchează curgerea timpului şi în consecinţă existenţa sa. Indiferent care ar fi relaţia de cauzalitate, este evident, nu numai pentru cei cu instrucţie superioară, că notaţia 2.52 ne arată că valoarea unei mărimi fizice, notată de noi cu „u”, se schimbă o dată cu trecerea timpului şi spunem că mărimea „u” este funcţie de variabila timp, notat de noi cu „t”.

u = u(t), (2.52)

Pentru simplitate, să presupunem că mărimea în discuţie este o tensiune electrică, aşa cum am făcut şi atunci când am discutat despre teorema Fourier. Fiindcă timpul este o mărime care „curge” continuu, se zice că tensiunea u(t) este o funcţie în timp continuu, adică nu există momente de timp în care funcţia (tensiunea) să nu fie definită. Matematica studiată deja în liceu ne oferă multe instrumente pentru a studia aceste semnale variabile în timp. Derivata întâi a semnalului ne arată cât de repede variază semnalul u(t). Integrala semnalului între

Page 65: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 57

două intervale de timp ne arată mărimea valorii cumulate a semnalului între cele două intervale de timp, etc. Să presupunem că un observator al mărimii u(t) poate înregistra toate schimbările pe care mărimea le are pe o durată determinată. Înregistrarea acestei evoluţii reprezintă pentru observator o informaţie. Toate mărimile care poartă informaţie şi sunt studiate din acest punct de vedere sunt denumite semnale. În acest sens, tensiunea de la prizele din locuinţele noastre nu este „un semnal”, ci doar o tensiune permanent sinusoidală cu frecvenţa de 50 Hz şi valoarea efectivă de 230V, pe când tensiunea furnizată de un microfon în faţa căruia se vorbeşte reprezintă un semnal, deoarece ea conţine informaţie. Să considerăm acum, spre exemplu, că într-un fişier se află o înregistrare oricât de lungă provenind de la o sursă de tensiune sinusoidală. În acest caz, în cel de-al doilea fişier, în care punem „traducerea”, se vor pune doar trei numere, şi anume: valoarea amplitudinii, a frecvenţei şi a fazei sale iniţiale. Compresia în acest caz este deosebit de mare. Iată deci avantajul transformării unui semnal într-un alt limbaj! Acesta este şi cazul transformării Fourier,.când fişierul iniţial este constituit dintr-o succesiune de valori ale tensiunii eşantionate la intervale egale de timp, iar cel de-al doilea conţine perechi „frecvenţă – amplitudine”, conform teoremei Fourier.

2.7.2. Definiţia transformatei Fourier directe pentru semnale în timp continuu Transformarea Fourier, la care ne vom referi în continuare, este una de o importanţă deosebită în studiul şi prelucrarea semnalelor.

Având o funcţie u(t), continuă şi în timp continuu, prin definiţie, transformata Fourier (TF) a funcţiei u(t) este:

TFu (t) = dt eu(t) U-

) j ( TFt ω j

ω ∫∞

−⋅= (2.53)

unde ω ∈ R

Observaţii:

• Notaţia TFu(t), reprezintă operatorul „Transformata Fourier” care, aplicat funcţiei u (t), o transformă în UTF(jω);

Page 66: curs_PNS

58 Procesarea Semnalelor Analogice

• Relaţia 2.53 transformă o funcţie de variabilă timp, u(t), într-o nouă funcţie UTF (jω), depinzând de o altă variabilă ω, numită pulsaţie, altfel spus, transformă domeniul timp în domeniul frecvenţă;

• Deşi în relaţia 2.53, transformata Fourier este definită ca fiind "funcţie de ω", deoarece ω=2πf, se poate spune la fel de bine că transformata Fourier este "funcţie de frecvenţă";

• Aşa cum se observă din relaţia de definiţie, ω este un număr real. Deci domeniul de definiţie al transformatei Fourier (domeniul frecvenţă), este unul continuu, în care frecvenţa poate lua o infinitate de valori într-un domeniu dat.

• Să considerăm o că în relaţia de definiţie a transformatei Fourier luăm pentru ω o valoare anume: ω = ω1 =2πf1 . În acest caz în urma calculelor se va obţine numărul complex: UTF(jω1) a cărui semnificaţie lui este următoarea: |UTF(jω1)| reprezintă amplitudinea unei sinusoide a cărei frecvenţă este f1. Aşa cum am mai spus, ω este un număr real, deci ω1 poate fi oricât. În concluzie, în ipoteza că ω1 parcurge "punct cu punct" tot domeniul real, atunci transformata Fourier ne oferă informaţii despre conţinutul "în sinusoide" al unui semnal neperiodic. Această mulţime a "sinusoidelor" în care poate fi descompus semnalul neperiodic, poartă denumirea de spectru al semnalului neperiodic;

• Cumulând ultimele două observaţii rezultă că spectrul semnalului neperiodic este continuu;

• Deşi în definiţia transformatei Fourier se vorbeşte doar de semnale neperiodice, aceasta nu înseamnă că transformata nu se poate aplica şi semnalelor periodice, cele despre care am văzut că făceau obiectul teoremei Fourier. Evident că aplicând transformata Fourier unei funţii periodice, aceasta va produce ca rezultat un spectru discret, pentru acest caz particular;

• Prin transformata Fourier, i se asociază funcţiei u(t ) o altă funcţie UTF(jω). Asocierea este biunivocă, adică unei funcţiei u (t) îi va corespunde o singură funcţie UTF(jω), iar unei transformate Fourier îi va corespunde o singură funcţie u(t):

u(t) ⇔ UTF (jω) (2.54)

Page 67: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 59

• Relaţia prin care se obţine funcţia u(t) atunci când se cunoaşte

transformata sa UTF (jω) se numeşte transformata Fourier inversă, şi este:

u(t ) = TFI UTF(jω) =.

∫∫∞

⋅=⋅=-

) j ( TF-

) j ( TF df eU ωd eU π2

1 tω jω

tω jω (2.55)

• Transformata Fourier inversă converteşte domeniul frecvenţă în domeniul timp

• Relaţia 2.55 ne dovedeşte un lucru extrem de important şi anume acela că orice funcţie reală, poate fi sintetizată din componentele ei spectrale.

2.7.3. Legătura dintre transformata Fourier şi teorema Fourier Teorema Fourier pentru semnale reale se poate extinde şi asupra semnalelor neperiodice, cum ar fi, de exemplu, semnalul vocal. Extinderea se poate face simplu, considerând semnalul neperiodic drept un semnal periodic, dar cu perioada infinită. Relaţiile de calcul legate de teorema Fourier, atât în domeniul real, cât şi în domeniul complex, rămân valabile cu precizarea că T0→∞. Consecinţa va fi aceea că f0 = 1/T0 → 0. Deci în spectrul de frecvenţă al semnalului u(t ), prima armonică va fi foarte apropiată de zero. Armonica a doua va urma foarte apropiată de prima. Continuând să plasăm şi celelalte armonici, în final spectrul de frecvenţă va fi reprezentat din puncte extrem de apropiate între ele. La limită, spectrul rezultat va fi continuu! În acest caz se poate afirma că un semnal neperiodic conţine toate frecvenţele dintr-un interval dat, nu doar anumite frecvenţe, ca în cazul semnalelor periodice. Cele prezentate în continuare nu reprezintă o demonstraţie a faptului că transformata Fourier este un caz particular al teoremei Fourier, ci reprezintă o utilă analiză a legăturii care există între teorema Fourier definită în domeniul complex (relaţia 2.51) şi transformata Fourier (relaţia 2.53)

Page 68: curs_PNS

60 Procesarea Semnalelor Analogice

Dacă în relaţia: T

π2 ω0 = se face: T → ∞,

rezultă:

→⋅→→

ω 0ωk )k(C d kC

ω d 0ω

dt eu(t) CT

0

tωk jk

0

T

1∫ −⋅⋅= → dteu(t)

π2ωd )d(C

-

tω jk ∫

+∞

−⋅⋅=

De unde: )ωj(Udteu(t) ωd

)d(Cπ2 TF

-

tω jk =⋅= ∫+∞

− (2.56)

Observaţii:

• Fiind o mărime complexă, transformatei Fourier i se pot evidenţia părţile reale şi imaginare:

UTF(jω) = Re(jω)+j⋅Im(jω) = |UTF (jω) |⋅e (jω) (2.57)

2) (j

2) (j ) j ( TF ωωω ImRe U += (2.58)

• Spre deosebire de |Ck| care se măsoară în Volt, unitatea de măsură a modulului transformatei Fourier este V⋅Hz−1 (Volt/Hz), aşa cum rezultă din 2.56. Din acest motiv | UTF(jω)| se numeşte densitate spectrală de amplitudine şi, firesc, reprezentarea sa grafică se face în funcţie de frecvenţă sau pulsaţie. De menţionat că, pentru a afla amplitudinea corespunzătoare unei frecvenţe, nu este suficientă citirea ordonatei din dreptul frecvenţei f0 din grafic, ci trebuie calculată integrala între f0 −df şi f0 +df.

• În practică se utilizează frecvent o altă reprezentare grafică, şi anume |F(jω)|2, a cărei unitate de măsură este V2⋅Hz−2, a cărei semnificaţie este acea de densitate spectrală de energie disipată pe o sarcină unitară (R=1Ω).

[ ] 122SI1

2

HzVsVW t RU tI UW −==⇒==

Iată deci că V2Hz−2 este unitatea de măsură pentru mărimea W1/f care reprezintă chiar densitatea spectrală de energie.

Page 69: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 61

De menţionat că, pentru a afla energia corespunzătoare unui interval de frecvenţă [f1 ÷ f2], adică energia armonicilor componentelor semnalului cu frecvenţele cuprinse în acel interval, trebuie calculată integrala densităţii spectrale de energie pe intervalul [f1 ÷ f2]. La limită, când f1 → f2, se poate determina energia unei singure armonici.

• Similar cu teorema Fourier, se asociază densităţii spectrale de amplitudine şi o fază corespunzătoare fiecărei frecvenţe. Graficul aferent acesteia reprezintă caracteristica de fază:

) j ( TF) j ( TF) j (

) j ( ) ( ωω

ω

ωω U)arg(U

ReIm

arctg ∠===ϕ (2.59)

2.7.4. De ce se folosesc transformări ? În sinteză la cele arătate, se poate menţiona încă o dată importanţa utilizării transformărilor de semnale. Aşa cum se sugerează în figura 2.27, dacă asupra semnalului u(t) se pot face observaţii şi analize în domeniul timp, prin transformare putem face observaţii şi analize în alt domeniu, acela al frecvenţelor.

TFu(t) UTF(jω) TFI u(t)

Observaţie,analiză îndomeniul timp

Observaţie,analiză îndomeniul frecvenţă

Figura 2.27. Transformata Fourier directă şi inversă. Analizele în domeniul frecvenţă pot produce rezultate spectaculoase, care ar fi imposibil să fie întrevăzute în domeniul timp. Deşi implică un volum însemnat de calcule, paradoxal, utilizarea transformatei Fourier, este avantajoasă cel mai adesea, chiar din punct de vedere al efortului de calcul. În acest sens, este mai uşor să identificăm anumite

Page 70: curs_PNS

62 Procesarea Semnalelor Analogice

proprietăţi sau evenimente în domeniul frecvenţă decât în domeniul timp, ceea ce justifică utilizarea transformatei. Există numeroase domenii ale procesării numerice de semnal, precum cel al recunoaşterii de forme, în care principalele metode de a identifica elemente structurale ale propoziţiilor şi cuvintelor se bazează pe analiza în domeniul frecvenţă. Aceasta nu înseamnă că folosirea analizei în domeniul frecvenţă ar fi una exclusivă în probleme de recunoaştere a formelor. La recunoaşterea vorbirii spre exemplu, cele mai bune rezultate se obţin dacă se folosesc ambele metode de analiză: în domeniul timp, pentru identificarea pauzei dintre cuvinte şi în domeniul frecvenţă pentru a putea identifica conţinutul unui cuvânt. Revenind la cele spuse în 2.7.1, ele având şi o conotaţie cu tentă filozofică, merită să observăm că în spatele formulării simple "transformata Furier transformă domeniul timp în frecvenţă" se ascunde o realitate interesantă pentru un observator uman: lumea obişnuită a semnalelor pe care noi le putem percepe se transformă într-o altă lume, care nu mai este percepută de simţurile noastre. Aceasta este consecinţă a faptului că omul cunoaşte lumea înconjurătoare prin semnalele cu care el interacţionează, toate acestea având o componentă temporală. Este evident că sub noua lor înfăţişare, în domeniul frecvenţă, informaţia originală nu se pierde, există dar sub altă formă, ca dovadă fiind faptul că semnalul original poate fi reconstruit folosind transformata Fourier inversă. Iată deci cum un operator matematic precum transformata Fourier, reprezintă în fond o modalitate de a crea o "altă lume", într-un alt plan. Putem oare afirma ceva despre materialitatea acestei noi lumi aflată într-un plan secund? 2.7.5. Concluzii legate de teorema Fourier şi transformata Fourier Am văzut că atât teorema Fourier, cât şi transformata Fourier conţin în definiţiile lor formulări care pot fi interpretate astfel: "având o funcţie u(t), aceasta poate fi scrisă astfel: …." adică conţinutul lor este unul pur matematic. Este firesc să fie aşa şi din simplul motiv că Jean Baptiste Fourier şi cei care s-au ocupat ulterior cu dezvoltarea acestui domeniu au fost matematicieni. Important din punct de vedere ingineresc este să înţelegem realitatea fizică ce se află în spatele acestei teoreme şi a acestei transformări matematice. O putem face simplu dacă acceptăm ca în locul sintagmei "funcţie ce poate fi scrisă…" să folosi exprimarea "semnal real".

Page 71: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 63

Ţinând cont de această observaţie şi de cele prezentate în acest capitol, putem deci afirma că:

Toate semnalele reale din lumea înconjurătoare se pot descompune "sinusoide" şi reciproc, orice semnal real poate fi obţinut

din suma unor "sinusoide".

Aceste afirmaţii au o semnificaţie deosebită: ne relevă care este elementul structural fundamental al semnalelor. Aşa cum în domeniul construcţiilor, cărămida reprezintă elementul structural de bază, în sensul că orice clădire poate fi făcută din cărămizi, iată că şi în lumea semnalelor am identificat un astfel de element structural fundamental şi anume semnalul sinusoidal. Instrumentele matematice care ne permit calculul parametrilor acestor "sinusoide" care compun semnalele sunt: - teorema Fourier pentru cazul particular al semnalelor periodice; - transformata Fourier pentru semnale neperiodice.

Încheiem aici incursiunea în lumea semnalelor analogice cu menţiunea că multe din principiile aflate aici se vor regăsi în capitolele următoare, cele legate de lumea semnalelor digitale. 2.7.6. Aplicaţii Problema 2.21. În ce categorie de semnale se încadrează semnalul provenit de la afişajul numeric cu patru digiţi, ai unui instrument numeric de măsură? Problema 2.22. Enunţaţi teorema Fourier şi arătaţi importanţa ei în rezolvarea regimului periodic nesinusoidal al circuitelor electrice. Problema 2.23. Enunţaţi transformata Fourier, şi arătaţi legătura ei cu teorema Fourier.

Problema 2.24. Explicaţi noţiunile de: • spectrul unui semnal; • armonica fundamentală; • armonici de ordin superior.

Page 72: curs_PNS

64 Procesarea Semnalelor Analogice

Problema 2.25. De ce spectrul unui semnal periodic este discret, iar al unuia neperiodic este continuu? Problema 2.26. Cu ce instrumente matematice se poate determina spectrul unui semnal periodic? Dar al unuia neperiodic? Problema 2.27. De ce se foloseşte expresia “densitate spectrală”, atunci când se vorbeşte de semnale neperiodice?

Problema 2.28. Exprimaţi o tensiune sinusoidală sub forma unei funcţii complexe, a cărei valoare reală să fie: 220 sin(100πt). Problema 2.29. Să se determine transformata Fourier a următoarelor tensiuni periodice nesinusoidale, toate având perioada T, şi fiind descrise de relaţiile următoare:

• [ ]( )

T t t pentru 0 t 0 t pentru 1

u(t)1

1

÷∈

÷∈=

• T

2T t pentru 0

2T 0 t pentru

Tt 2πsin

u(t)

÷∈

÷∈

=

• [ ]( )

T t t pentru 0 t 0 t pentru t

u(t)1

1

÷∈

÷∈=

2.8. Bibliografie

[2.1] Mihu I.P. - Dispozitive şi Circuite Electronice vol I, II Editura ULBS, 1996

[2.2] Gray P.E., Searle C.L. Bazele electronicii moderne, vol I, ET 1973.

[2.3] *** http://www.jhu.edu/~signals

[2.4] *** www.eece.unm.edu/signals/

[2.5] *** http://www.dspguide.com/ch13.htm

Page 73: curs_PNS

3. SEMNALELE ÎN CALCULATORUL NUMERIC 3.1. Semnale analogice / semnale numerice În capitolul precedent am discutat despre semnale în timp continuu, numite adesea semnale analogice, semnale specifice proceselor din lumea reală. Obiectivul nostru final este acela de “a aduce” aceste semnale în calculator şi de a le prelucra cu ajutorul lui. Figura 3.1 este edificatoare în acest sens, fiindcă prezintă în acelaşi cadru atât semnalul analogic iniţial, cât şi pe cel pe care dorim să-l obţinem. Simplu spus, calculatorul nu operează decât cu numere. Deci având un semnal analogic u(t), pentru a putea fi reprezentat într-un fişier în calculator, el trebuie transformat într-o secvenţă de numere. Figura 3.1.b ilustrează felul în care din semnalul analogic se poate obţine o secvenţă de numere. Pentru aceasta trebuie făcute două lucruri: • Să ne alegem nişte momente de timp la care să “citim” valoarea

semnalului analogic. Valoarea semnalului într-un astfel de moment se numeşte eşantion al semnalului u(t), iar procedeul se numeşte eşantionare. Înţelesul termenului eşantion este cel comun (bucată, mostră), iar procedeul de eşantionare este similar aceluia prin care un vânzător de stofe, ne dă o bucăţică (un eşantion) dintr-o stofă căreia îi face reclamă.

• Fiindcă, teoretic, valoarea citită poate fi una cu un număr infinit de cifre (număr real iraţional), ea trebuie transformată într-una adecvată pentru calculator, adică într-o valoare cu un număr limitat de cifre. Procedeul se numeşte discretizare.

Page 74: curs_PNS

64 Semnalele şi Calculatorul

t

u (t)

nTe

u (nTe)

a.

b.

Figura 3.1. Semnal analogic (a) / Semnal numeric (b).

O primă observaţie la cele arătate este aceea că semnalul numeric este o aproximaţie a celui analogic. Semnalul numeric nu există decât în nişte momente de timp şi, mai mult decât atâta, el nu este cel real ci este unul trunchiat sau rotunjit, pentru a putea fi exprimat cu număr finit de cifre. Este oare această aproximare suficientă? Din informaţia iniţială conţinută de semnalul u(t) nu se pierde oare o cantitate prea mare de informaţie? Reciproc, dacă ne-am pune problema să refacem semnalul u(t ) pornind de la eşantioanele sale, mai este posibil să obţinem semnalul iniţial? Răspunsul la aceste întrebări constituie chiar obiectivul acestui scurt capitol. El este util pentru că ne va ajuta să vedem clar, în fiecare moment al analizelor ulterioare, relaţia în care se găseşte un semnal numeric discret, cu semnalul analogic din care provine.

3.2. Eşantionarea şi cuantizarea

Eşantionarea şi cuantizarea (discretizarea) sunt realizate de către sistemul de achiziţie de date (SAD), sistem aflat între semnalul analogic şi calculator. De regulă, eşantionarea se face la intervale egale de timp, aşa cum este realizată şi în figura 3.1. Intervalul de

Page 75: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 65

timp dintre două eşantioane se numeşte perioadă de eşantionare, notată în continuare Te. Frecvenţa de eşantionare este Fe=1/Te.

Circuit deeşantionare

Circuit decuantizare

u(t) u[nTe]u[nTe]

Te

Figura 3.2. Schema bloc generală a sistemului de achiziţie de date.

Desigur că tentaţia firească, legată de achiziţia unui semnal în calculator, este să preluăm cât mai mult timp din evoluţia acelui semnal şi în acelaşi timp să ocupăm cât mai puţine resurse ale calculatorului. Pentru aceasta, ar trebui ca din procesul de eşantionare şi discretizare să rezulte numere puţine şi cu cifre puţine. • Numere puţine înseamnă ca eşantionarea să se facă rar, cât mai rar

posibil. Este însă evident că dacă eşantioanele sunt foarte rare, se pierde informaţie din semnal. Spre exemplu, dacă în figura 3.1.b, am fi ales doar al patru-lea eşantion, cu siguranţă anumite variaţii ale semnalului u(t ) nu ar fi fost redate de eşantioanele obţinute. Ar fi fost pierdute variaţiile rapide ale semnalului. Pentru a nu se pierde nici o posibilă variaţie rapidă a semnalului, ar trebui ca eşantionarea să se facă extrem de des. Dar asta implică un spaţiu mare de memorie pentru a reţine toate eşantioanele din acea porţiune de semnal. Iată deci că se impune un compromis în ceea ce priveşte frecvenţa de eşantionare: ea ar trebui să fie cât mai mare pentru a reprezenta semnalul u (t) cât mai fidel, şi ar trebui să fie cât mai mică pentru a reprezenta semnalul cu un volum cât mai mic de date.

• Al doilea deziderat, şi anume acela de a reprezenta valoarea eşantionului cu “un număr mic de cifre”, înseamnă de fapt că reprezentarea acestuia în calculator trebuie făcută cu un număr cât mai mic de biţi. A reprezenta valoarea unui eşantion cu un număr mic de biţi înseamnă că aproximarea semnalului iniţial ar fi una grosolană care ar duce sigur la pierdere de informaţie. Este şi cazul discretizării folosite în exemplul din figura 3.1.b, unde se observă că eşantioanele nu reflectă şi creşterea semnalului din dreptul săgeţii. Acest lucru este datorat faptului că discretizarea se face pe

Page 76: curs_PNS

66 Semnalele şi Calculatorul

nivele prea puţine, adică se foloseşte un număr prea mic de biţi pentru reprezentarea eşantionului. Şi de această dată va trebui făcut un compromis legat de numărul de biţi folosit pentru reprezentare: să fie cât mai mare pentru a reprezenta semnalul u(t) cât mai fidel, şi să fie cât mai mic pentru a reprezenta semnalul cu un volum cât mai mic de date.

3.3. Spectrul semnalului eşantionat

Pentru a exprima matematic semnalul eşantionat din semnalul analogic original, vom face apel la o funcţie similară impulsului Dirac folosit în teoria semnalelor continue. De această dată, vom folosi funcţia “impuls unitar discret” definită astfel:

==

0 npentru 0

0 n pentru 1[n]δ (3.1)

Astfel, relaţia prin care semnalul continuu se transformă matematic într-unul discret este:

δu u k

kTe][t (t)es(t) ∑∞

∞−=−⋅= ⇒ δu u

k

kTe][nTe (kTe)[nTe] ∑∞

∞−=−

⋅= (3.2)

Atât din relaţia 3.2, cât mai ales din figura 3.1, se înţelege uşor efectul de “aproximare” pe care îl are eşantionarea, dacă ne referim la domeniul timp în care este definit semnalul u (t). Ce modificări implică însă eşantionarea asupra domeniului de frecvenţă al semnalului u (t)? Vom analiza în continuare acest aspect interesant încercând să găsim relaţia dintre conţinutul spectral al semnalului analogic u(t) şi conţinutul spectral al semnalului rezultat prin eşantionarea sa. Să începem analiza cu un exemplu simplu. Un semnal continuu este reprezentat în spectru printr-o singură componentă în dreptul frecvenţei f=0. Prin eşantionare va rezulta un semnal format din eşantioane de valoare egală, având frecvenţa Fe. Evident acesta este un semnal periodic şi i se poate aplica teorema Fourier. Chiar şi intuitiv putem construi spectrul de frecvenţă al semnalului continuu eşantionat: va exista o componentă continuă dată de “valoarea medie a eşantioanelor” care este evident mai mică decât valoarea iniţială a tensiunii continue, şi o infinitate de componente armonice având ca frecvenţe multiplii întregi ai frecvenţei de eşantionare. Iată deci că spectrul semnalului eşantionat este diferit de cel al celui original.

Page 77: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 67

Pentru a determina spectrul unui semnal neperiodic u(t) se aplică transformata Fourier, a cărei definiţie este:

dt eu(t) U-

) j ( t ω j

ω ∫∞

−⋅= (3.3)

Semnalul eşantionat, provenit din eşantionarea semnalului neperiodic u(t) este tot neperiodic. Vom nota cu Ues(jω) transformata sa Fourier :

=⋅

⋅= ∫ ∑

∞=

−− dt eδu U

- k) j (

t ω jkTe)(t(kTe)ω es

Te ωk j(kTe)

t ω jkTe)(t(kTe) eu dt e δu

k- k

−−

−⋅=⋅= ∑∫∑

∞=

∞=

(3.4)

Se poate arăta că spectrul obţinut după relaţia 3.4 este periodic, având perioada egală cu Fe respectiv 2π⋅Fe, dacă spectrul se raportează la pulsaţie.

eu U Te Fe)2 ω(n j(nTe)Fe2ω es

n) j (

=⋅= +−

−+ ∑

∞=

ππ

) j ( n

ω es2n jTe ωn j

(nTe) Ueeu =⋅⋅= −−

−∑

∞=

π (3.5)

Se poate demonstra [Porat B.], că relaţia 3.5 poate fi scrisă şi sub o formă care să evidenţieze caracterul periodic şi infinit al spectrului semnalului eşantionat, :

( )( ) U U n

Fe 2π j) j ( n ω ω es Te1

=⋅= ∑∞

∞= −⋅⋅−

( ) ...UUU )) ( j ()) ( j () j ( Fe22-ωFe2-ωω Te1

+++⋅= π⋅π (3.6)

În concluzie, având un semnal u (t) al cărui spectru este cunoscut, spectrul semnalului eşantionat cu perioada Te, se compune din: • spectrul original al semnalului, atenuat însă cu factorul 1/Te • o infinitate de “replici” ale spectrului original, decalate în

domeniul frecvenţă cu multipli întregi ai frecvenţei de eşantionare. Şi aceste replici sunt atenuate în amplitudine cu acelaşi factor 1/Te.

Page 78: curs_PNS

68 Semnalele şi Calculatorul

Cele afirmate referitor la spectrul unui semnal eşantionat sunt ilustrate în figura 3.3. Pentru exemplificare am ales un semnal u(t ) care are un spectru oarecare, cu grija de a preciza că el este mărginit (limitat) de frecvenţele +Fmax şi –Fmax. În figură sunt reprezentate: semnalul analogic u(t), funcţia impuls unitar întârziată corespunzător tuturor eşantioanelor şi semnalul eşantionat, alături de spectrele lor de frecvenţă.

t

u (t)

nTe

u [ nTe ]

nTe

δ [nTe − kTe]

Fe 2Fe− Fe Fmax−Fmax

Fe/2−Fe/2

A

A / Te

Fe 2Fe− Fe f

f

f

U ( f )

∆ ( f )

U ( f )

Fmax−Fmax

Figura 3.3. Spectrul unui semnal eşantionat. Se observă că spectrul semnalului eşantionat conţine spectrul atenuat al semnalului original, dar pe lângă acesta încă o infinitate de “clone” ale lui, situate cu o periodicitate de Fe, pe axa frecvenţelor. Se observă de asemenea că Fe/2 reprezintă o axă de simetrie pentru spectrul original şi următoarea replică a acestuia. Iată deci că de ceea ce ne era teamă, nu am scăpat: semnalul eşantionat are spectrul modificat faţă de spectrul semnalului original. În mod firesc trebuie acum să vedem cum trebuie eşantionat un semnal, astfel încât această schimbare a spectrului să nu producă alterări ale informaţiei conţinute în semnalul iniţial.

Page 79: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 69

3.4. Teorema eşantionării (criteriul Nyquist) Este una din teoremele cu o importanţă deosebită în teoria şi practica prelucrării semnalelor. Mai este cunoscută şi sub numele de teorema Shanon şi are următoarea formulare:

Având un semnal u(t), cu un spectru finit, a cărui frecvenţa maximă este Fmax, atunci frecvenţa minimă de eşantionare trebuie să fie 2Fmax, adică: Fe ≥ 2⋅Fmax (3.7)

Observaţii: • Referitor la paternitatea acestei teoreme, Nyquist este creditat ca

fiind primul ce face referire la “2⋅Fmax” încă din 1928. Shanon este cel care oferă în 1949 o demonstraţie riguroasă a teoremei eşantionării.

• Frecvenţa 2⋅Fmax se mai numeşte frecvenţa Nyquist, iar relaţia 3.7 poartă numele de “criteriul Nyquist”.

• În concluzie, oricum am numi-o: teorema eşantionării, teorema Shanon sau criteriul Nyquist, adevărul din relaţia 3.1 reprezintă un ajutor teoretic şi practic foarte important în obţinerea unui semnal numeric pornind de la unul analogic.

• Un prim exemplu de aplicaţie este eşantionarea semnalului audio. Astfel, se ştie că semnalul audio de înaltă calitate (HiFi), are o bandă de frecvenţă cuprinsă între 20Hz ÷ 20 kHz. De aceea înregistrările numerice de calitate pe CD, folosesc o frecvenţă de eşantionare de 44,1 kHz. În telefonie, pentru vocea umană, frecvenţa maximă este considerată 3÷4 kHz, ceea ce recomandă o eşantionare la 8÷10 kHz.

• O demonstraţie simplă a teoremei eşantionării se face pornind de la reprezentarea spectrală a semnalului eşantionat şi a celui analogic din care provine. Am precizat deja că frecvenţa Fe/2 reprezintă o axă de simetrie între spectrul original şi prima sa replică. Aşa cum se observă din figura 3.3, este evident că dacă Fmax este mai mare decât Fe/2 atunci spectrele învecinate, care acum, în figură, nu se intersectează, se vor suprapune. Ce consecinţe are aceasta? Este evident că prin suprapunere spectrul iniţial nu mai este cel original, ci este unul alterat. Ori aceasta va avea ca urmare faptul că la reconstituire, semnalul nu va mai putea fi identic cu semnalul

Page 80: curs_PNS

70 Semnalele şi Calculatorul

analogic original. O astfel de situaţie se numeşte aliere. În concluzie, pentru evitarea fenomenului de aliere, condiţia necesară şi suficientă, este echivalentă criteriului Nyquist, şi anume:

2

Fe Fmax ≤ (3.8)

3.5. Alegerea frecvenţei de eşantionare Pentru o bună înţelegere a teoremei eşantionării, este util a fi analizat, exemplul din figura 3.4, care arată intuitiv importanţa alegerii unei frecvenţe mai mari decât dublul frecvenţei maxime din spectru.

a.

b. Te

T

Te

T

Figura 3.4. Alegerea unei frecvenţe de eşantionare corecte (a)

şi a uneia incorecte (b).

Page 81: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 71

Pentru aceasta să presupunem că avem un semnal sinusoidal u(t ), având frecvenţa F, şi pe care ne propunem să-l eşantionăm spre a-l memora sub formă de fişier de date. În primul exemplu, cel din figura 3.4.a, eşantionarea semnalului sinusoidal se face cu o frecvenţă mai mare decât dublul frecvenţei semnalului. Se observă că semnalul sinusoidal original şi eşantioanele rezultate se află într-o relaţie biunivocă. Altfel spus, din eşantioanele rezultate nu poate fi refăcută decât tot o sinusoidă, identică cu cea originală, în nici un caz o alta. În schimb, în exemplul din figura 3.4.b, acelaşi semnal este eşantionat “foarte rar”, cu o frecvenţă mai mică decât dublul frecvenţei semnalului. De această dată, dacă se doreşte refacerea semnalului din eşantioanele sale, problema nu mai este unic determinată. Aşa cum se observă se poate reface atât semnalul original, dar şi un altul, cel marcat cu linie îngroşată. Cu alte cuvinte după eşantionare au rezultat eşantioane care pot aparţine tot atât de bine şi altor semnale, de alte frecvenţe, nu doar semnalului original. Ori acest lucru nu poate fi permis într-o achiziţie de semnal şi anume să obţinem eşantioane despre care să nu putem preciza de la ce semnal provin. Acest fenomen este cel descris de termenul aliere, (sau aliassing în limba engleză). Acest neajuns exemplificat în figura 3.4.b, este datorat tocmai nerespectării teoremei eşantionării. În concluzie, achiziţia corectă a unui semnal presupune următorii paşi: • Cunoaşterea spectrului de frecvenţă al semnalului ce se doreşte

achiziţionat. • Aflarea frecvenţei maxime Fmax, conţinută în spectrul

semnalului. • Alegerea unei frecvenţe de eşantionare care să respecte teorema

Shanon. La limită Fe poate fi dublul frecvenţei maxime, dar este recomandată alegerea unei frecvenţe de eşantionare mai mari cu cel puţin 10%.

• Condiţionarea semnalului analogic, în sensul limitării spectrului său de frecvenţă. Există multe situaţii în care nu se cunoaşte frecvenţa maximă a spectrului semnalului, sau situaţii în care spectrul semnalului este unul foarte larg. În astfel de cazuri este obligatorie condiţionarea semnalului ce urmează a fi eşantionat, adică limitarea spectrului său de frecvenţe la o frecvenţă maximă Fmax. Acest lucru se realizează prin filtrarea semnalului analogic. În acest scop, semnalul analogic trebuie trecut printr-un filtru analogic de tip “trece jos”. Este de dorit ca acest filtru să fie

Page 82: curs_PNS

72 Semnalele şi Calculatorul

unul ideal, ca în figura 3.5, a cărui frecvenţă de tăiere trebuie să fie egală cu Fmax aleasă din criteriul de eşantionare. În realitate, nu se pot construi filtre analogice cu o caracteristică ideală. În figura 3.5, este prezentată atât caracteristica unui FTJ analogic ideal (linie groasă), cât şi a unuia real, şi se observă că în acest din urmă caz frecvenţa de tăiere a filtrului trebuie să fie mai mică decât Fmax, pentru a ne asigura că frecvenţele mai mari decât Fmax sunt rejectate suficient de bine pentru a fi neglijate atunci când se pune problema respectării teoremei Shanon.

f

|A|

Ft Fmax

FiltruReal

FiltruIdeal

1

Figura 3.5. Caracteristica de frecvenţă pentru condiţionarea semnalului analogic în vederea eşantionării.

Respectarea paşilor enumeraţi este o garanţie a faptului că semnalul analogic va putea fi refăcut fără pierdere de informaţie din eşantioanele sale. 3.6. Alegerea nivelului de cuantizare Codificarea unui număr real cu ajutorul unui număr fix de biţi înseamnă o trunchiere a acelei valori reale la una cu un număr finit de cifre. Evident, cu cât se vor folosi mai mulţi biţi, eroarea dintre numărul real şi codificarea sa va fi mai mică. Pentru alegerea numărului de biţi pe care se face cuantizarea este util să ne imaginăm că semnalul reprezentat trunchiat se obţine din cel analogic original prin “adăugarea” la acesta a unui semnal care

Page 83: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 73

evident este unul nedorit, şi de aceea poate fi denumit “zgomot de cuantizare”. Acest lucru este arătat în figura 3.6.

Semnalul analogic original Semnalul cuantizat

Zgomotul de cuantizare

Figura 3.6. Zgomot rezultat prin cuantizare. Se numeşte zgomot de cuantizare acel semnal care, suprapus peste semnalul analogic original, îl duce pe acesta la valoarea rezultată prin cuantizare. Valoarea efectivă a zgomotului de cuantizare este:

e = 1q

e de = q12 e f

2

- q / 2

+ q / 2

∫ (3.9)

unde q reprezintă rezoluţia cuantizorului respectiv intervalul dintre două valori cuantizate consecutive. Raportul semnal zgomot al cuantizorului pe N biţi se determină raportând mărimea domeniului acoperit de cuantizor (S) la zgomotul de cuantizare:

Page 84: curs_PNS

74 Semnalele şi Calculatorul

12 2 = 12 / q

2 q = eS = SNR N

N

f e

⋅ (3.10)

[ ] ( ) SNR = 20 log 2 12 6 N + 10,8 dB. d BN ≅ ⋅ (3.11)

Relaţia 3.11 arată că orice nou bit introdus pentru cuantizarea unui semnal îmbunătăţeşte raportul semnal zgomot cu 6 dB.

Probabilitatea de apariţie a unor valori ale tensiunii de achiziţionat care să atingă marginile domeniului este scăzută. Cu toate acestea se poate lăsa o plajă de siguranţă de ±5% pentru posibile valori accidentale peste cele scontate, la marginea inferioară şi la cea superioară. Rezultă o scădere a raportului semnal zgomot cu:

dB. 0,93 2 0,1 -2

2 log 20 NN

N=

⋅ (3.12)

Deci raportul semnal zgomot va fi:

[ ] SNR = 6 N + 9,8 dB. d B ⋅ (3.13)

Pe de altă parte, acest raport se exprimă ca fiind:

[ ] SNR = 20 log Vivv

q dB. d B (3.14)

Din relaţiile 3.13 şi 3.14 rezultă numărul minim de biţi pe care ar trebui făcută cuantificarea:

6

9,8 - q

Vivvlog 20 minN ≥ (3.15)

unde Vivv reprezintă valoarea vârf la vârf a tensiunii ce urmează a fi achiziţionată, iar q reprezintă rezoluţia impusă, în condiţiile unei plaje de siguranţă de 5%.

Menţiune: Zgomotul de cuantizare nu trebuie asociat numai procesului de achiziţie de date. El intervine şi atunci când o valoare se exprimă trunchiat/rotunjit pe un număr dat de biţi, ca de exemplu: • conversia unei valori din virgulă flotantă în virgulă fixă (de

exemplu pe un octet); • exprimarea rezultatului înmulţirii a două numere în virgulă fixă (de

exemplu pe doi octeţi), într-o variabilă având acelaşi număr de octeţi.

Page 85: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 75

3.7. Reconstrucţia semnalului analogic din eşantioanele sale Am văzut că prin eşantionare un semnal în timp continuu se transformă într-unul în timp discret. Operaţia inversă, şi anume aceea prin care se reface semnalul analogic pornind de la cel în timp discret, se numeşte interpolare. Din punct de vedere matematic, prin interpolare se vor determina valorile semnalului în toate punctele dintre eşantioane, pornind de la valorile cunoscute ale eşantioanelor. Există mai multe metode de a reface semnalul din eşantioanele sale. O primă idee ar fi să dăm semnalului y(t) valoarea eşantionului curent x[n] şi să păstrăm constantă această valoare, pe întreaga durată a perioadei de eşantionare. Firesc, valoarea semnalului y(t) se va schimba doar la citirea următorului eşantion. Ar rezulta un semnal analogic “în trepte”, mult diferit faţă de semnalul analogic iniţial. 3.7.1. Interpolarea de ordinul zero cu păstrarea valorii (zero-order hold)

x(t)

x_(t)

x[n]

10 2 3

Figura 3.7. Interpolare cu păstrarea valorii.

Prin această metodă valoarea eşantionului curent este păstrată pe durata unei perioade de eşantionare, până la citirea următorului eşantion. 3.7.2. Interpolarea liniară Matematic, prin această metodă, se unesc câte două eşantioane adiacente prin linii drepte, curba iniţială (cea în timp continuu) ajungând să fie aproximată prin segmente de dreaptă.

Page 86: curs_PNS

76 Semnalele şi Calculatorul

x[n]

x(t)

x_(t)

Figura 3.8. Interpolare prin segmente de dreaptă.

Relaţia 3.16 este cea care dă valoarea semnalului în oricare punct al intervalului dintre două eşantioane consecutive, ea servind deci construcţiei segmentului de dreaptă care uneşte eşantionul curent x[n] de cel următor x[n+1]. Variabila t ia valori în intervalul [0;Te]. Pentru construcţia fiecăruia din segmentele următoare, relaţia se repetă.

t Te

x xxy [n] 1][n

[n] (t) ⋅−

+= + (3.16) Interpolarea liniară este cea mai simplă metodă de interpolare. Pentru a “desena” linia ce uneşte două eşantioane adiacente sunt suficiente doar valorile acestora. 3.7.3. Interpolarea polinomială Dacă privim rezultatul reconstrucţiei unei sinusoide prin interpolare liniară, el apare mult diferit faţă de sinusoida iniţială. În exemplul din figura 3.8, este evident că rezultatul interpolării este mult prea plin de “colţuri” pentru a fi o sinusoidă! În ideea obţinerii unui rezultat cât mai apropiat semnalul original pasul următor este interpolarea cu ajutorul unor curbe în locul segmentelor de dreaptă. Un exemplu de astfel de interpolare este cea de tip Hermite, unde curba dintre două eşantioane adiacente este modelată de un polinom de gradul 3, descris de relaţia 3.17.

Page 87: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 77

dTet c

Tet b

Tet a y

23

(t) +

⋅+

⋅+

⋅= (3.17)

Pentru determinarea celor 4 coeficienţi este nevoie de 4 eşantioane consecutive, pe care le vom nota: x[n-1], x[n], x[n+1], x[n+2]. Se pun următoarele condiţii: • curba de interpolare trece prin cele două puncte din mijloc, • primul şi ultimul din cele patru puncte sunt folosite pentru a stabili

forma curbei. În acest sens, se impune ca derivata funcţiei prin punctul x[n] să fie jumătate din tangenta dreptei ce uneşte punctele adiacente x[n-1] şi x[n+1]. Rezultă sistemul:

−=′

−=′

=

=

+

+

+

2

x xy

2

x xy

xy

xy

[n] 2][n (Te)

1]-[n 1][n (0)

1][n (Te)

[n] (0)

(3.18)

Din 3.17 şi 3.18 se calculează valorile coeficienţilor a, b, c, d:

( )

=

=

⋅+⋅=

⋅=

++

+++−+

++−−+−

[n]

1]-[n 1][n

2][n [n] 1][n 1][n

2][n 1][n 1][n [n]

xd 2

xx c

2

xx5 x x2 b

2

xx xx 3 a

(3.19)

3.7.4. Interpolarea folosind nucleul “sinc” Metodele de interpolare amintite refac cu aproximaţie semnalul iniţial. Există şi o metodă care teoretic reface semnalul original, cu condiţia ca el să fi respectat teorema Shanon, înaintea eşantionării. Această metodă utilizează o funcţie de interpolare de forma:

Page 88: curs_PNS

78 Semnalele şi Calculatorul

0 pentru x 1

0 pentru x x

sin(x)

sinc(x)

=

≠= (3.20)

În acest caz valoarea semnalului într-un punct t se obţine prin însumarea ponderată a tuturor valorilor eşantioanelor din semnal, funcţia cu care se face ponderarea fiind chiar funcţia sinc(x).

Te

Tek t sincu u-k

Te][k(t) ∑∞

∞=

⋅=−

⋅ (3.21)

Teoretic, în calculul unei valori a funcţiei u(t) sunt implicaţi o infinitate de termeni. În practică se limitează numărul eşantioanelor luate în calcul la valori suficient de mari pentru a obţine precizii ridicate şi suficient de mici dacă există constrângeri legate de timpul de calcul, aşa cum este cazul interpolării în timp real. În acest din urmă caz, trebuie făcut un rabat mai mare acurateţii, şi se ia în calcul o lungime rezonabilă a nucleului de interpolare, care să acopere cel puţin 6 eşantioane. 3.8. Sistemul de achiziţie de date

Sub această denumire se înţelege partea hardware şi logica aferentă, aflate între semnalul analogic şi “calculator”. Sistemul de achiziţie are rolul de a realiza conversia analog-numerică, respectiv transformarea semnalului continuu în timp continuu, într-un semnal discret în timp discret. Schema bloc de principiu este cea din figura 3.9.

Filtru Trece Jos Eşantionare şi

memorare

CAN (cuantizare şi

codare)

Sistem de calcul

Te

U [nTe] u (t)

Magistrală de control

Fmax f

Figura 3.9. Schema de principiu a procesului de conversie analog – numeric.

Page 89: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 79

a. Filtrul trece jos. Rolul lui este acela de a asigura funcţionarea

sistemului în condiţiile respectării teoremei eşantionării. Pentru aceasta trebuie înlăturate din semnalul u(t ) toate frecvenţele mai mari decât jumătatea frecvenţei de eşantionare, lucru realizat cu ajutorul unui filtru analogic de tip “trece jos”. Fiindcă acest bloc operează asupra semnalului ce urmează să fie achiziţionat, el se mai numeşte şi “bloc de condiţionare a semnalului”. Tot acum se mai pot realiza şi alte “condiţionări” (prelucrări) ale semnalului analogic, precum: asigurarea unui nivel maxim al semnalului la o valoare convenabilă, înlăturarea prin filtrare analogică a unor zgomote cunoscute, etc.

b. Circuitul de eşantionare şi memorare. Acest bloc este impus de blocul de conversie analog numerică. În logica procesului de conversie este evident faptul că acesta “citeşte valoarea semnalului analogic la un moment dat”. Să notăm acest moment ales pentru conversie cu ti. Citirea şi transformarea rezultatului citirii într-un număr necesită un timp de conversie, timp care poate fi extrem de scurt la convertoarele performante, dar niciodată nul. Pe toată durata acestui timp de conversie, trebuie ca semnalul analogic să nu-şi modifice valoarea. Blocul de eşantionare şi memorare realizează tocmai acest lucru şi anume menţine constantă valoarea semnalului, egală chiar cu valoarea la momentul ti , pe toată durata conversiei analog numerice.

c. Convertorul analog numeric (CAN). Este circuitul care “citeşte” valoarea semnalului analogic şi o transformă într-o valoare numerică. Momentul de “start conversie” trebuie să fie sincronizat cu ciclul de eşantionare şi memorare al blocului anterior. Principial un CAN înglobează două funcţii:

• Discretizarea domeniului de variaţie a semnalului. O achiziţie de mare acurateţe presupune realizarea unui număr mare de intervale de discretizare, deci trebuie folosit un număr mare de biţi.

• Codificarea numerică a fiecărui interval. Principalele tipuri de codificare folosite sunt:

- Pulse Cod Modulation (PCM): este codificarea “clasică” binară, cu puteri ale lui 2, ordonate crescător

- cod Gray: oricare două intervale adiacente diferă între ele printr-un singur bit

Page 90: curs_PNS

80 Semnalele şi Calculatorul

d. Sistemul de calcul este cel care gestionează în ansamblu procesul de achiziţie. El se află în permanent dialog cu blocul de conversie, care îi transmite, printre alte informaţii, şi pe aceea referitoare la momentul terminării procesului de achiziţie. Abia după aceasta, se va starta achiziţia unui nou eşantion. Sistemul de achiziţie de date prezentat poate avea o mai mare sau mai mică autonomie în a gestiona datele sau în a le transfera altui sistem de calcul. Un sistem de achiziţie performant, ca de exemplu placa de sunet a unui PC, are un mare grad de autonomie, dar funcţionarea ei rămâne supervizată de unitatea centrală a calculatorului.

Ce face sistemul de calcul cu datele furnizate de sistemul de achiziţie? Le poate stoca, transmite sau procesa în diverse scopuri. Una din posibilităţile de gestionare a datelor rezultate dintr-un proces de achiziţie, este cea de fişier de date. Există două mari categorii de fişiere de date generate în urma unui proces de achiziţie:

• Fişiere conţinând cronologic numai datele rezultate din achiziţie. Acest mod de achiziţie are dezavantajul că nu oferă o informaţie esenţială şi anume frecvenţa de eşantionare. În acest caz, utilizatorul datelor din fişier trebuie să aibă cunoştinţă despre aceasta, altfel din datele fişierului nu se poate reconstitui semnalul. Lungimea fişierului rezultat depinde de:

- numărul datelor achiziţionate; - formatul datelor, respectiv numărul de octeţi pe care este

codificat fiecare eşantion. • Fişiere conţinând atât datele rezultate din achiziţie cât şi alte date

precum: frecvenţa de eşantionare, lungimea fişierului etc. Toate aceste date suplimentare se pun de obicei într-un header. Pentru a putea fi citite de un utilizator acesta trebuie să cunoască exact structura cu care s-a generat fişierul. Un exemplu de astfel de fişiere sunt fişierele *.wav, folosite pentru achiziţia de semnale audio.

3.9. Aplicaţii

Problema 3.1. δ[n] reprezintă funcţia impuls unitar definită de relaţia 3.1. Desenaţi următoarele semnale: a. x[n]= δ[n] b. x[n]= δ[n-1] c. x[n]= δ[n] + δ[n-1]

Page 91: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 81

Problema 3.2. Se dă o tensiune sinusoidală în timp continuu, cu frecvenţa de 100 Hz şi amplitudinea de 2V şi fază iniţială nulă. Aceasta se eşantionează cu o frecvenţă de 1 kHz.

• Este corect aleasă frecvenţă de eşantionare ? • Să se deseneze semnalul eşantionat. • Este tensiunea eşantionată periodică? Dacă da, care este

perioada? • Care este perioada tensiunii eşantionate dacă frecvenţa de

eşantionare este 990 Hz?

Problema 3.3. Se dă tensiunea: u(t) = 10 + 2 sin (100πt) + 10sin (200πt). • Desenaţi la scară tensiunea u(t) în raport cu timpul. • Desenaţi spectrul tensiunii u(t). • Se trece tensiunea u(t) printr-un filtru trece jos ideal având frecvenţă

de trecere Ft= 150Hz. Scrieţi expresia tensiunii de la ieşirea filtrului. • Desenaţi spectrul semnalului de la ieşirea filtrului. • Care este frecvenţa minimă de eşantionare a tensiunii u(t)? Dar

frecvenţa maximă de eşantionare?

Problema 3.4. Se dă semnalul

[ ] t)πkcos(100t)πksin(100,1 u(t) 10

1k∑

=

⋅⋅⋅+⋅⋅⋅⋅= [V]

• Desenaţi spectrul tensiunii u(t). • Care trebuie să fie banda de trecere a unui amplificator care să

amplifice semnalul fără a-l distorsiona? • Cu ce frecvenţă trebuie eşantionat semnalul pentru a putea fi refăcut

din eşantioanele sale?

Problema 3.5. Alegând o frecvenţă de eşantionare care să satisfacă criteriul Nyquist, generaţi prin calcule un fişier de date care „să conţină” un semnal sinusoidal, având următorii parametrii:

• frecvenţa: 1kHz; • amplitudinea: 100; • faza iniţială: 0.

Problema 3.6. Alegând o frecvenţă de eşantionare care să nu satisfacă criteriul Nyquist, generaţi prin calcule un fişier de date care să “conţină” un semnal sinusoidal, având următorii parametrii:

• frecvenţa: 1kHz; • amplitudinea: 100; • faza iniţială: 0.

Page 92: curs_PNS

82 Semnalele şi Calculatorul

Afişaţi apoi semnalul obţinut şi evidenţiaţi fenomenul de aliere.

Problema 3.7. Fie semnalul: u(t) = 100 sin(1000⋅π⋅t). Să se aleagă o frecvenţă de eşantionare corectă şi să se precizeze dimensiunea fişierului de date rezultat, dacă semnalul se achiziţionează timp de 3 minute cu o rezoluţie de 2 octeţi / eşantion.

Problema 3.8. Cum arată spectrul unui semnal rezultat în urma interpolării liniare a unui semnal eşantionat, faţă de spectrul semnalului analogic din care acesta a provenit?

Problema 3.9. Se numeşte supraeşantionare, procesul prin care unui semnal eşantionat i se mai adaugă eşantioane intermediare. Să se efectueze supraeşantionarea, unui semnal audio conţinut într-un fişier *.wav, intercalând la jumătatea intervalului de eşantionare câte un nou eşantion. , rezultat din calcule.

Problema 3.10. Ce volum au datele rezultate din achiziţia timp de un minut, a unui semnal audio monocanal? Frecvenţa de eşantionare este Fe = 44 kHz iar eşantionul se codifică pe 16 biţi. Dar a unui semnal stereo? De ce lungimea unui fişier *.wav în care s-ar păstra aceste date este mai mare decât mărimea rezultată din calcul?

3.10. Bibliografie

[3.1] Toma L. , "Sisteme de achiziţie şi prelucrare numerică a semnalelor", Editura de Vest, Timişoara, 1996.

[3.2] M. Bodea, I. Mihuţ, L. Turic, V. Tiponuţ, “Aparate electronice pentru măsurare şi control”, EDP, Bucureşti, 1985.

[3.3] A. Ignea, “Măsurarea electrică a mărimilor neelectrice”, Editura de Vest, Timişoara, 1996.

[3.4] *** http://www.jhu.edu/~signals/sampling/index.html

[3.5] *** http://www.dspguide.com/ch3.htm

[3.6] *** http://www.dsptutor.freeuk.com/aliasing/AD102.html

[3.7] *** http://www.bores.com/courses/intro/index.htm

Page 93: curs_PNS

4. SEMNALE ŞI SISTEME DISCRETE 4.1. Sinusoida discretă

După ce în capitolul anterior am văzut cum se propagă un semnal analogic printr-un sistem liniar, este momentul să vedem cum se propagă un semnal discret în timp discret. Pentru o exprimare mai condensată, vom folosi sintagma semnal discret în loc de semnal discret în timp discret. La fel ca şi în capitolul precedent, vom alege pentru analiză semnale având o formă de variaţie armonică (sinusoidală). Pentru a putea face o bună paralelă între ce se întâmplă în lumea analogică şi ce se întâmplă în lumea discretă este bine să utilizăm chiar semnalul discret rezultat din eşantionarea semnalului analogic sinusoidal, analizat în capitolul precedent. Pentru a-l putea deosebi de cel analogic, vom folosi pentru semnalul discret notaţia cu paranteze pătrate: x[n]. Sinusoida discretă se obţine din semnalul analogic sinusoidal de frecvenţă F şi amplitudine A, fie prin eşantionare într-un sistem de achiziţie de date, fie pe cale matematică astfel:

=⋅⋅=⋅==

==n

FeF π2sinA nTe)F π2 sin(A t)(ωsin A x x

nTet nTet (t)[n]

Merită remarcat faptul că deşi secvenţa generată provine de la un semnal periodic, eşantioanele rezultate pot avea perioada semnalului analogic, pot avea o altă perioadă, sau pur şi simplu pot să nu fie periodice. Condiţia de periodicitate este:

x[n+No] = A sin (2π n F/Fe + 2k π)

2π (n+N0 ) F/Fe = 2π n F/Fe + 2k π

Page 94: curs_PNS

84 Sisteme şi Semnale în Timp Discret

Rezultă: N0 = k ⋅ Fe/F

Deci secvenţa este periodică doar dacă raportul Fe/F este un număr raţional. Indiferent de caracterul periodic sau neperiodic al secvenţei de numere x[n], nu trebuie uitat că acestea reprezintă în cazul de faţă o sinusoidă a cărei frecvenţă este F, aceeaşi ca şi frecvenţa semnalului x(t) din care a provenit. Indiferent de valoarea raportului Fe/F, frecvenţa F „conţinută” în secvenţa x[n] este aceeaşi. Evident, sinusoida discretă este doar unul din mulţimea semnalelor discrete. Ca şi semnalele analogice din care provin, semnalele discrete pot face obiectul mai multor clasificări, aşa cum am văzut în primul capitol. Cu precizarea că definirea este aceeaşi ca şi la semnalele analogice, iată câteva categorii:

• semnal discret monocanal / multicanal; • semnal discret unidimensional / multidimensional; • semnal discret determinist / nedeterminist, etc.

4.2. Sisteme numerice Semnalul pe care-l vom aduce la intrarea unui sistem digital cu scopul de a fi prelucrat este o secvenţă (un şir) de numere, rezultate din conversia analog numerică a semnalului analogic, iar semnalul de la ieşire va fi tot o secvenţă de numere, aşa cum este ilustrat în figura 4.1.

x [n] y [n]

Figura 4.1. Sistem pentru prelucrarea semnalelor numerice.

În aceste condiţii, sistemul care va prelucra (procesa) secvenţa de numere x[n] nu poate fi altceva decât un algoritm de calcul, adică secvenţa de numere de la ieşirea sistemului se obţine în urma unor calcule având ca date de intrare elementele secvenţei x[n]. Aidoma sistemelor ce procesează semnale analogice, şi sistemele numerice pot fi clasificate după mai multe criterii. Dintre sistemele care vor face obiectul capitolelor următoare, cele mai importante sunt următoarele:

Page 95: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 85

4.2.1. Sisteme discrete liniare

Sistemul discret se numeşte liniar dacă algoritmul de calcul este o funcţie liniară, ca de exemplu:

x y k] -[n k[n]

1-N

0k⋅= ∑

=

λ (4.1)

Relaţia 4.1 arată că eşantionul curent y[n] se obţine ca şi combinaţie liniară a ultimelor N eşantioane din secvenţa de intrare. Dacă secvenţa de intrare x[n] provine din eşantionarea unui semnal analogic sinusoidal, iar procesarea se face cu algoritmi liniari, rezultatul procesării va fi întotdeauna un semnal numeric care prin refacere va genera tot un semnal sinusoidal.

Dacă la intrarea unui sistem (algoritm de calcul) liniar se aduce o sinusoidă discretizată, la ieşirea va apare tot o sinusoidă discretizată, dar având: • amplitudinea diferită (mai mare sau mai mică), faţă de

amplitudinea sinusoidei discretizate de la intrare; • posibilă întârziere, mai mare sau mai mică, faţă de sinusoida

discretizată de la intrare (fază diferită faţă de cea de la intrare)

Se poate arăta uşor că cele afirmate mai sus sunt adevărate. Pentru aceasta relaţia 4.1 trebuie privită ca fiind rezultatul eşantionării la momentul t = nTe, a sumei mai multor sinusoide. Eşantionarea semnalului x(t) = A sin (ωt) la momentul t = nTe va produce pe x[n]. Eşantionul x[n–1] rezultă din eşantionarea unei alte sinusoide, tot la momentul nTe, dar „întârziată” cu Te.

y(t ).= λ0 A sin(ωt) + λ1 A sin[ω(t – Te)] +λ2 A sin[ω(t – 2Te)] +….

Deoarece mulţimea funcţiilor sinusoidale are o structură algebrică de grup, y(t) este tot o sinusoidă fiind rezultatul însumării a N sinusoide fiecare din ele defazate faţă de precedenta cu Te.

y [n].= λ0 A sin [ω(nTe )] + λ1 A sin [ω(nTe – Te)] +……

y [n].= λ0 ⋅x [n].+ λ1 ⋅x [n–1] + λ2 ⋅x [n–2].+ ……

Page 96: curs_PNS

86 Sisteme şi Semnale în Timp Discret

Pentru N astfel de sinusoide se obţine chiar relaţia 4.1. Rezultă că, eşantioanele y[n] provin din eşantionarea unei sinusoide, deci semnalul sinusoidal nu este distorsionat la trecerea printr-un sistem liniar.

4.2.2. Sisteme discrete invariante în timp

Sisteme invariante în timp sunt acele sisteme la care răspunsul sistemului va fi acelaşi, indiferent de momentul aplicării semnalului de intrare. Dacă y [n].= S x[n], atunci y [n-No].= S x[n-No] unde prin S x[n], am semnificat transformarea suferită de semnalul x[n] la trecerea prin sistem. Cu alte cuvinte, aplicând la intrarea unui sistem invariant în timp acelaşi semnal x[n] dar întârziat cu N0 perioade de eşantionare, sistemul va produce acelaşi semnal de ieşire dar întârziat tot cu N0 perioade de eşantionare.

4.2.3. Sisteme discrete cauzale /necauzale

Sistemele discrete cauzale sunt cele la care mărimea de ieşire nu depinde decât de valori ale mărimii de intrare anterioare momentului curent. Altfel spus, ieşirea nu depinde decât de trecut, nu şi de viitor. Exemplu: Sistemul descris de algoritmul y [n].= 3⋅x [n]+2⋅x [n–1]−x[n–2] este cauzal, iar cel descris de algoritmul y [n].= 3⋅x [n+1]+2⋅x [n]−x[n–1] este necauzal.

4.2.4. Sisteme discrete recursive / nerecursive

Un sistem discret se numeşte recursiv, dacă ieşirea sa depinde atât de valori din secvenţa de intrare x[n],cât şi de valori din secvenţa de ieşire y[n]. Sistemul se numeşte nerecursiv atunci când ieşirea depinde doar de valori din secvenţa de intrare. Exemplu: Sistemul y [n].= 3⋅x [n]+2⋅x [n–1]−x[n–2] este nerecursiv; Sistemul y [n].= 3⋅x [n]+2⋅y [n–1] este recursiv.

4.2.5. Sisteme discrete stabile / instabile

Un sistem este stabil atunci când aducându-se la intrarea sa un semnal de valoare limitată (semnal mărginit), ieşirea sa va produce tot un semnal de valoare limitată. Altfel spus, ieşirea sistemului nu va tinde la infinit, dacă intrarea nu tinde la infinit.

Page 97: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 87

Exemplu: Sistemul: y[n].= 3⋅x[n]+0,002⋅y[n–1] este stabil. Sistemul: y[n].= 3⋅x[n]+2⋅y[n–1] este instabil, deoarece după prima valoare x[n] mai mare decât zero, efectul cumulativ produs de termenul y[n–1] va face ca ieşirea sistemului să tindă la infinit atunci când n creşte suficient de mult.

Mai există şi alte categorii de sisteme discrete, care vor fi amintite la momentul potrivit. 4.3. Exemple de procesare analogică versus procesare digitală

Sistemele discrete pe care le vom utiliza în continuare pentru procesarea semnalelor vor fi fără excepţie sisteme liniare, cauzale şi invariante în timp. Înainte de toate, legat de propagarea unui semnal sinusoidal, mai merită menţionată proprietatea remarcabilă a tuturor sistemelor liniare, proprietate care poate fi sintetizată astfel:

“Sinusoida” străbate un sistem liniar fără a fi deformată,indiferent dacă sistemul este unul continuu sau discret.

Pentru a avea de la bun început o imagine corectă în legătură cu procesarea semnalelor numerice este util să analizăm cazul câtorva prelucrări simple de semnale digitale, care se propagă prin sisteme liniare, cauzale şi invariante în timp. Mai mult decât atât, vom face o analiză în paralel a procesării unei sinusoide analogice şi a uneia discrete pentru a vedea ce condiţii trebuie să îndeplinească algoritmul de calcul pentru ca cele două procesări să producă aceleaşi efecte.

4.3.1. Amplificarea unui semnal analogic şi a unuia discret

În exemplul propus în figura 4.2, tensiunea sinusoidală x(t) este „trecută” prin divizorul rezistiv format din rezistenţele R1 şi R2. Tensiunea de ieşire este tot sinusoidală, de amplitudine mai mică şi având aceeaşi fază cu sinusoida de intrare.

Page 98: curs_PNS

88 Sisteme şi Semnale în Timp Discret

Semnal analogic Semnal discret

X(t) Y(t) R1 R2

X[nTe] Y[nTe]

X(t)

Y(t)t

nY[n]

X[n]

y(t) = 21

2

RRR+

⋅ x(t) = λ ⋅x(t)

y[n] = λ ⋅x[n]

Figura 4.2. Amplificarea unui semnal analogic şi a unuia discret.

De menţionat că uneori în locul termenului “amplificare”, atunci când factorul de amplificare este subunitar, se foloseşte termenul atenuare. Algoritmul cu care putem obţine acelaşi efect asupra semnalului discret este o simplă multiplicare cu coeficientul λ. Rezultatul este evident: sinusoida de la ieşire este în fază cu cea de intrare, dar de amplitudine mai mică:

x(t ) = A sin(ωt) ⇒ y(t) = λ A sin(ωt)

y[n] = λ ⋅x[n]

4.3.2. Defazarea unui sinusoide analogice şi a uneia discrete

De data aceasta, pe lângă faptul că sinusoida y(t ) este de amplitudine diferită, ea este şi de fază diferită faţă de sinusoida x(t).

Page 99: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 89

Semnal analogic Semnal discret

x(t) y(t) R C

x[nTe] y[nTe]

x(t)

y(t) t

ny[n]

x[n]

(t)(t)

(t) ydt

dyRCx +=

⇒ y(t).= A sin(ωt+ϕ)

unde:

⋅=+

=

RC)arctg(ω - CRω 1

1 A222

ϕ

] 1-n []n []n [ y1a

a x1a

1 y ⋅+

+⋅+

=

unde: TeRC a =

Figura 4.3. Defazarea unui semnal analogic şi a unuia discret. Nu vom intra în detalii legate de modul de calcul pentru semnalul analogic, prezentate deja în 2.5.1, şi nici pentru cel discret, care vor fi prezentate într-un capitol viitor. Scopul exemplului este acela de a arăta faptul că există un algoritm de calcul care duce la rezultate absolut similare între semnalul discretizat şi cel analogic. Pentru moment, ne putem gândi deja la două categorii de probleme:

• Aflarea amplitudinii şi fazei sinusoidei discretizate de la ieşire atunci când se cunoaşte algoritmul de calcul a secvenţei y[n].

• Determinarea algoritmului de calcul pentru a obţine la ieşire o amplitudine şi o fază impusă.

Pentru a putea soluţiona cele propuse va trebui prezentat aparatul matematic de prelucrare numerică pe care-l vom folosi în acest scop.

Page 100: curs_PNS

90 Sisteme şi Semnale în Timp Discret

4.4. Transformarea Fourier Discretă (TFD)

Termenul ”discretă” din denumirea acestei transformări nu are conotaţia colocvială, aceea de “a nu divulga o taină încredinţată”, ci face referire la categoria de ”semnale în timp discret”.

4.4.1. Definiţia transformării Fourier pentru semnale discrete

Aşa cum am văzut anterior că există transformări ale semnalelor continue, vom defini în continuare şi transformări ale semnalelor discrete. Între multe alte transformări posibile ale semnalului discret, Transformarea Fourier Discretă ocupă un loc aparte ca importanţă.

Având o tensiune discretă u[nTe] , prin definiţie, Transformarea Fourier Discretă (TFD) a N eşantioane din această tensiune este:

TFD u[nTe] = UTFD [n Ωo] = 1N

0k

Tek on je [kTe]u ∑−

=

Ω−⋅ (4.2)

unde: n = 0, 1, 2, ..., (N-1).

Similar cu Transformata Fourier, întâlnită în capitolul dedicat semnalelor analogice, esenţa definiţiei 4.2 este faptul că prin Transformarea Fourier Discretă domeniul timp este transformat în domeniul frecvenţă. Acest fapt este ilustrat în figura 4.4.

TFD oferă informaţii despre spectrul de frecvenţă al unui semnal. TFD transformă N eşantioane ale unui semnal din domeniul timp, în

N valori complexe din domeniul frecvenţă.

Cele N eşantioane din domeniul timp reprezintă un interval T0=(N-1)⋅Te unde, Te reprezintă frecvenţa de eşantionare a semnalului discret. Despre cele N eşantioane produse de TFD se poate afirma că reprezintă spectrul discret al secvenţei de N eşantioane din domeniul timp.

Page 101: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 91

Te

u[nTe]

2Te 3Te (N-1)TenTet

N eşantioane;

UTFD [nΩ0]

Ω0 2Ω0 3Ω0 nΩ0 (N-1)Ω0

N eşantioane

Ω

f

Fe

2F0

F0=Fe/N(N-1)Fe/NF0

Figura 4.4. Transformarea domeniului timp în domeniul frecvenţă prin Transformarea Fourier Discretă.

Observaţii:

• TFD este cel mai important instrument pentru procesarea semnalelor discrete.

• Similar cu teorema Fourier pentru semnale continue, transformarea Fourier Discretă furnizează spectrul unui semnal discret, adică mulţimea semnalelor armonice în care semnalul discret poate fi „descompus”.

• Se observă din figura 4.4 că cele N armonici ale TFD se găsesc distanţate între ele la intervale F0, dacă ne raportăm la frecvenţă, respectiv Ω0 dacă ne raportăm la pulsaţie:

N

Fe2π NFe F 00

⋅=Ω= (4.3)

Page 102: curs_PNS

92 Sisteme şi Semnale în Timp Discret

Rezultă că domeniul de frecvenţă [0 ÷ Fe] în care UTFD ia valori este împărţit în N intervale identice. Armonicile rezultate din TFD vor avea valori ale frecvenţelor, cu un ecart de Fe/N între ele. Prima armonică ocupă frecvenţa zero, a doua ocupă frecvenţa Fe/N, următoarea 2 Fe/N şi aşa mai departe. Fiindcă sunt N armonici, ultima frecvenţă va avea ordinul (N-1), deoarece ordinul primei armonici a fost zero. Iată deci că ultima armonică nu ocupă frecvenţa Fe, aşa cum am fi fost tentaţi să credem, ci ea se află la „o distanţă” de Fe/N de acea valoare. Această situaţie este ilustrată accentuat în figura 4.4, unde poziţiile primei armonici şi a ultimei sunt marcate cu segmente îngroşate. Acest fapt este bine să fie reţinut având în vedere reprezentarea grafică a spectrului semnalului.

• Aşa cum s-a văzut în capitolul precedent, o secvenţă rezultată prin eşantionarea unei porţiuni dintr-un semnal analogic are un spectru de frecvenţă continuu în intervalul -Fe/2 ÷ Fe/2, multiplicat apoi prin periodicitate atât în domeniul frecvenţelor negative cât şi pozitive. Ţinând cont că:

UTFD [n Ωo] = UTFD [n (Ωo + 2π)] (4.4)

Rezultă că cele N valori din domeniul frecvenţă, furnizate de TFD, vor fi şi ele multiplicate prin periodicitate, astfel că spectrul secvenţei se întinde pe întregul domeniu al frecvenţelor pozitive şi negative.

• TFD produce ca rezultat numere complexe, în spatele acestora „ascunzându-se” armonici (semnale sinusoidale) reale. Pentru aflarea amplitudinii şi fazei acestora avem:

UTFD [n Ωo] = Re[n Ωo]+j⋅Im[n Ωo] (4.5)

∠===ϕ

+=

]nΩ [ D]nΩ [ D]Ω [

]nΩ [ ]Ω[n

2][nΩ

2][nΩ ]Ω[n TFD

00

0

0

0

000

F)arg(FReIm

arctg

ImRe U

(4.6)

• Notaţia TFDu[nTe] reprezintă „operatorul Fourier Discret”. Acest operator aplicat celor N eşantioane din u[nTe], le transformă în N eşantioane UTFD [nΩ] care reprezintă N armonici complexe, n fiind ordinul acestora.

Page 103: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 93

• Relaţia 4.2 transformă o funcţie de variabilă timp discret u[nTe] într-o nouă funcţie UTFD[nΩ], depinzând de o altă variabilă Ω, numită pulsaţie discretă. Notaţia Ω nu se deosebeşte cu nimic faţă de ω folosită la semnalele continue. O vom folosi doar pentru a accentua faptul că ne situăm într-un domeniu discret.

• Deşi relaţia de definiţie este dată în funcţie de pulsaţie, se poate spune la fel de bine că UTFD este în funcţie de frecvenţă;

• Unitatea de măsură pentru UTFD este Voltul, în ipoteza că u(t) este o tensiune.

[UTFD [nΩ]] SI = 1V.

• Ţinând cont că:

Ω0 = 2π F0 = 2π Fe/N = 2π / NTe

relaţia 4.2 poate fi rescrisă mai simplu, pentru implementarea algoritmului de calcul al TFD pentru o secvenţă de N eşantioane:

e u U1N

0kn] [ TFD

Nkn 2π j

[k]∑−

=

−⋅= (4.7)

pentru n = 0,1,2,…, N-1.

Calculul amplitudinii şi fazei componentelor armonice (relaţiile 4.4 şi 4.5) poate fi făcut pornind de la relaţia 4.7.

• Prin TFD i se asociază funcţiei u[nTe], o altă funcţie UTFD[nΩ]. Asocierea este biunivocă, adică unei secvenţe de N eşantioane din u[nTe], îi vor corespunde N armonici şi reciproc:

u[n] ⇔ UTFD [n ] (4.8)

• Relaţia inversă prin care se transformă domeniul frecvenţă în domeniul timp se numeşte Transformarea Fourier Discretă Inversă (TFDI) şi este definită astfel:

TFDIUTFD[n] = u[n] = e UN1

1N

0ko]k [ TFD

Ten ok j∑−

Ω⋅ (4.9)

Page 104: curs_PNS

94 Sisteme şi Semnale în Timp Discret

• TFDI permite reconstituirea semnalului original u[n] din componentele sale spectrale, determinate anterior cu ajutorul TFD.

• Pentru calcul efectiv al TFDI relaţia 4.9 poate fi rescrisă astfel:

e U N1 u TFDIU

1N

0kTFDn] [ n] [TFD

Nkn 2π j

[k]∑−

=

⋅⋅== (4.10)

4.4.2. Legătura dintre TFD şi Transformata Fourier Considerând că tensiunea u[nTe] provine din tensiunea u(t) eşantionată cu frecvenţa Fe=1/Te, atunci TFD poate fi privită ca un „caz particular” al transformatei Fourier. Pentru aceasta trebuie pornit de la relaţia 2.53 de definiţie a transformatei Fourier, în care se procedează la „schimbările” următoare: t = n⋅Te dt = Te ω = k Ω0⋅

Luând în discuţie doar N eşantioane, integrala 2.53 se reduce la o sumă de N termeni :

F (jω) = dt eu(t) -

t ω j∫∞

−⋅ → Te e u 1N

0k

Ten ok j[nTe] ⋅⋅∑

=

Ω−

Deci: F (jω) → Te ⋅ UTFD [nΩ] (4.10) Relaţia 4.10 are semnificaţia următoare: valoarea transformatei Fourier, F(jω), în punctele de eşantionare ale domeniului frecvenţă impus de TFD, se poate obţine din valoarea transformatei Fourier Discrete UTFD prin multiplicare cu Te. Această observaţie este utilă deoarece face posibilă aproximarea transformatei Fourier cu ajutorul TFD. Relaţia 4.8, reconfirmă unitatea de măsură a transformatei Fourier. În ipoteza că u(t) este o tensiune, atunci: [F (jω) ] SI = 1V⋅s = 1V⋅Hz −1

Page 105: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 95

4.5 Transformarea Fourier Discretă Rapidă (TFDR) Determinarea transformării Fourier necesită un volum mare de calcule. În calculul relaţiei 4.7, pentru un eşantion se fac N înmulţiri şi N-1 adunări. Deci, pentru cele N eşantioane cărora li se aplică TFD sunt necesare N2 înmulţiri de numere complexe şi N(N-1) adunări de numere complexe. Dacă N are valori mici, volumul de calcule nu reprezintă un impediment. Dacă însă N este de valoare mare, atunci efortul de calcul trebuie luat serios în seamă. Spre exemplu, pentru N=103, vor avea loc un milion de înmulţiri de numere complexe! Acest lucru este un dezavantaj major atunci când se pune problema procesării semnalelor în timp real. S-au făcut numeroase cercetări pentru reducerea timpului de calcul. Cele mai fructuoase s-au dovedit cele realizate de J. W. Cooley şi J. W. Tukey în 1965. Aceştia au observat că funcţia ejx este periodică, şi ca urmare este posibil ca pentru anumite valori ale lui x, să nu mai fie nevoie să facem calculele, fiindcă, datorită periodicităţii, acest rezultat a mai fost obţinut o dată, cu o perioadă mai înainte. Ţinând cont de această observaţie, algoritmul Cooley-Tukey reduce numărul de calcule de la N2 la N⋅lg2N. Pentru N=103, numărul de înmulţiri complexe este de 103⋅lg2103 = 9.965. Deci 9.965 de înmulţiri complexe în loc de un milion. Pentru a ne convinge că algoritmul este eficient, vom relua exemplul din [4], pentru N=106. În acest caz, într-un sistem cu un ciclu CPU de o microsecundă, timpul de calcul al TFD ar dura aproximativ 2 săptămâni, (!!), iar al TFDR doar 30 sec. Iată deci că rezultatul este unul remarcabil! Din acest motiv, această transformare merită să se numească „rapidă”. Pentru ca cele amintite să aibă loc, trebuie îndeplinită o singură condiţie: numărul de eşantioane pentru care se calculează TFD să fie putere întreagă a lui 2, aşa cum este sintetizat în 4.11:

e u U1N

0kn] [ TFDR

Nkn 2π j

[k]∑−

=

−⋅=

cu condiţia:

=

−=

natural şi întreg pcu ,2 N

1)(N ..., 0,n p (4.11)

Algoritmul Cooley-Tukey este descris în majoritatea lucrărilor de specialitate din domeniul procesării numerice a semnalelor: [1], [2] etc.

Page 106: curs_PNS

96 Sisteme şi Semnale în Timp Discret

Din acest motiv las cititorului plăcerea de a descoperi logica prin care algoritmul evită repetarea unui număr mare de calcule, datorită periodicităţii după numărul k, a funcţiei e –j⋅2π⋅n⋅k / N. Algoritmul este detaliat şi disponibil pentru implementare soft în [4].

În concluzie, TFDR nu este altceva decât o versiune a TFD, care are nevoie de un timp de calcul mai redus. Singura constrângere impusă de TFD este aceea de a alege un număr de eşantioane putere întreagă a numărului 2. Nevoia de a reduce timpul de calcul nu s-a oprit la găsirea de algoritmi rapizi, ci a mers mai departe şi în domeniul implementării lor. Cel mai remarcabil succes în acest domeniu a fost realizarea procesoarelor specializate pentru procesarea numerică de semnale, cunoscute în literatură ca „DSP processors”. Având o structură hard adecvată, aceste microprocesoare posedă instrucţiuni specifice pentru implementarea uşoară a algoritmilor de forma 4.7, dar mai ales pentru execuţia lor rapidă.

4.6. La ce folosesc transformările Fourier? Pentru a da un sens pragmatic demersurilor teoretice de până acum, iată în continuare câteva aplicaţii concrete ale transformatei Fourier. 4.6.1. Calculul spectrului unui semnal

Aşa cum am mai precizat în capitolele anterioare, transformarea Fourier a unui semnal, permite analiza semnalului în raport cu frecvenţa, analiză extrem de importantă în studiul ulterior al modului în care semnalul se propagă prin diverse sisteme. TFD şi TFDR sunt instrumente care permit calculul facil al spectrului de frecvenţă al unei secvenţe de date. Spectrul secvenţei de date realizat pe baza relaţiei 4.7 reprezintă un „alter ego” al acesteia, putând fi folosit la identificare, clasificare, comparare etc. Trebuie să vedem acum dacă spectrul secvenţei de date este acelaşi cu spectrul semnalului din care aceasta s-a prelevat. Prin analogie cu teorema Fourier, care se referă la semnale periodice care au un spectru discret, trebuie menţionat că, similar în cazul TFD, dacă dispunem de un spectru discret, înseamnă că secvenţa de date de la care acesta provine este periodică. Deci secvenţa de N date căreia i se aplică TFD, este privită ca provenind dintr-un semnal periodic, având perioada egală cu N Te unde Te reprezintă perioada de eşantionare. Reciproc, dacă aplicăm TFD unei secvenţe de N date, semnalul căruia îi va corespunde spectrul rezultat se obţine multiplicând prin periodicitate această secvenţă.

Page 107: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 97

Cele menţionate au consecinţe importante. Să analizăm exemplul următor în care TFD este aplicată iniţial unei secvenţe ce conţine un număr întreg de perioade, dintr-un semnal sinusoidal, situaţie reflectată fidel în spectrul său.

b

a∆t = k T

∆t ≠ k T

T = (N-1) Te

t

|H(jω)|

f

t

f

|H(jω)|

t

t

TFD

TFD

Figura 4.5. Spectrul dat de TFR pentru secvenţele de date. Se observă că în al doilea caz, atunci când secvenţa nu conţine un număr întreg de perioade, spectrul rezultat nu este cel corect fiindcă el este în fapt spectrul semnalului rezultat prin multiplicarea prin periodicitate a secvenţei, reprezentat în figura 4.5.b, care nu este o sinusoidă. În concluzie, dacă trebuie determinat spectrul unui semnal folosind TFD, atunci în cazul în care semnalul este periodic, secvenţa de N eşantioane “prelevată” din semnal trebuie să conţină un număr întreg de perioade.

Page 108: curs_PNS

98 Sisteme şi Semnale în Timp Discret

Ce facem însă atunci când semnalul este neperiodic? Un posibil răspuns la această întrebare îl putem afla în paragraful următor. 4.6.2. Ferestruirea (windowing) Atunci când se preia o “porţiune” de N eşantioane dintr-un semnal, fără a le schimba valoarea, se zice că se preia o fereastră dreptunghiulară. Am văzut că prin TFD putem obţine spectrul corect numai dacă semnalul analizat este periodic şi numai preluând o fereastră dreptunghiulară care conţine un număr întreg de perioade.

a

b

c

d

1

1

Figura 4.6. Porţiune de semnal preluată cu şi fără ferestruire.

Page 109: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 99

În caz contrar, alterarea spectrului de frecvenţă se datorează cu prioritate zonelor de margine ale ferestrei. Acestea sunt privite ca „făcând parte din semnal”, ori este evident că semnalul original nu are astfel de salturi, precum zonele încercuite din figura 4.6.a. Soluţia de înlăturare a variaţiilor mari din zona de margine a unei porţiuni (ferestre) de semnal, o constituie aplatizarea acestora. Acesta este obiectivul metodelor de “ferestruire” (windowing). Algoritmul este cel descris de relaţia 4.12. Se observă că porţiunea prelevată se înmulţeşte cu funcţia w[k] , numită „funcţie fereastră”.

1)-..(N 0 k pentru

[kTe]u [k] w [kTe] wu

=

⋅= (4.12)

Aşa cum este arătat în figura 4.6, funcţia fereastră trebuie să aibă amplitudine unitară pe toată lungimea porţiunii de semnal prelevate, mai puţin în zonele de capete unde ea trebuie să descrească uniform către zero. Există mai multe funcţii care au această proprietate, unele dintre ele consacrate deja în literatura de specialitate, ca de exemplu: fereastra triunghiulară (relaţia 4.13), fereastra Welch (relaţia 4.14), fereastra Hanning (relaţia 4.14) etc.

“Triunghiulară” w 1)(M

1)-(M-2k-1 [k] +

= (4.13)

“Welch” w 2

1)(M1)-(M-2k

-1 [k]

+= (4.14)

“Hanning” 1-Mk 2πcos-1

21 [k]w

= (4.15)

În exemplele date, M poate fi egal cu lungimea secvenţei prelevate (N), sau poate fi mai mic decât N, şi atunci algoritmii 4.13 ÷ 4.15 se „împart în două”, câte o jumătate pentru fiecare capăt al secvenţei, aşa cum este ilustrat în figura 4.6. În concluzie, procedeul numeric de ferestruire se aplică secvenţei numerice căreia urmează să-i fie aplicată transformarea TFD sau altă transformare. Ferestruirea are rolul de a reduce contribuţia nefastă a porţiunilor de capăt ale secvenţei prelevate, în spectrul de frecvenţă al semnalului. Tehnica de ferestruire mai este folosită pentru a ajusta şi alţi algoritmi de procesare numerică şi anume pe cei cu rolul de filtru numeric, aşa cum va fi arătat într-un capitol viitor.

Page 110: curs_PNS

100 Sisteme şi Semnale în Timp Discret

4.6.3. Calculul densităţii spectrale de putere

Spectrul unui semnal nu oferă o informaţie intuitivă , sub aspect energetic, a contribuţiei fiecărei armonici la alcătuirea semnalului. Pentru aceasta se foloseşte o altă mărime denumită densitate spectrală de putere, care arată contribuţia energetică a fiecărei armonici. Prin analogie cu energia semnalelor analogice, energia totală a unei secvenţe de N eşantioane se exprimă ca fiind suma pătratelor eşantioanelor. Cum se regăseşte energia semnalului în transformata Fourier a acestuia? Răspunsul la această întrebare îl dă teorema Parseval:

∑∑−

=

=

==1N

0k

2

[k] TFD

1N

0k

2[k] U

N1 u W (4.12)

Contribuţia la energia totală a semnalului, corespunzător fiecărei armonici rezultate prin transformarea Fourier a semnalului, este următoarea:

1)/2-(N1,2,..,kpt UU

N1W

U N1W

2

k]-[NTFD2

[k]TFD[k]

2

[0]TFD[0]

=

+⋅=

⋅= (4.13)

unde UTFD[k] sunt coeficienţii complecşi rezultaţi din transformarea Fourier a semnalului u [k].

4.6.4. Calculul convoluţiei a două semnale

Convoluţia a două semnale se calculează mai simplu prin înmulţirea transformatelor Fourier ale acestora, decât prin implementarea algoritmului propriu-zis de convoluţie, aşa cum se va vedea în capitolul următor. 4.7. Alte transformări discrete Deşi transformarea Fourier este cea care acaparează interesul în marea majoritate a studiilor legate de procesarea numerică a semnalelor, există şi alte transformări, uneori mai adecvate scopului urmărit. Obiectul acestui paragraf este doar acela de a aminti şi alte câteva transformări de semnale discrete, întâlnite în literatura de specialitate:

Page 111: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 101

• Transformarea Cosinus; • Transformarea Sinus; • Transformarea Walsh • Transformarea Hilbert; • Transformarea Wavelet; • Transformarea Z etc.

Dintre cele menţionate, transformarea Z a funcţiei de transfer, are o importanţă cu totul aparte în studiul sistemelor. Din acest motiv ea va fi prezentată pe larg în capitolul 8. Pentru a stimula apetitul pentru studiul altor transformări, iată în continuare un exemplu.

4.7.1. Transformarea Cosinus

La fel cu TFD cu care are multe similitudini, Transformarea Cosinus Discretă (TCD), se aplică tot unei secvenţe de N eşantioane şi se defineşte astfel:

2N

1)(2kn π cosx λ y1-N

0 k [k](n)[n]

+⋅= ∑

= (4.16)

unde: n = 0,.., N-1

≤≤

==

1-Nn1pentru ,N / 2

0npentru ,N / 1 λ(n)

Cele N valori rezultate din TCD pot fi asociate celor N armonici rezultate din TFD. Semnificaţia valorilor y[k] rezultate din TCD este aceea de contribuţie energetică a respectivei armonici în energia secvenţei de date xn . Pentru ca timpul de calcul să fie redus, nu este necesar ca de fiecare dată să fie calculate valorile cosinus, ci ele se calculează o singură dată la început iar se pun într-un tablou, de unde sunt citite după indicele care coincide cu argumentul funcţiei cosinus, tehnică cunoscută sub numele „Look-Up Table”. O dată cunoscută secvenţa y[k] se poate reconstitui secvenţa iniţială x[k], folosind Transformarea Cosinus Discretă Inversă (TCDI):

2N

1)πk(2n cosy (n) λ x1-N

0 k [k][n]

+⋅= ∑

= (4.17)

unde: n = 0, ... , N-1

Page 112: curs_PNS

102 Sisteme şi Semnale în Timp Discret

În reconstrucţia semnalului x[k] se pot folosi toţi coeficienţii y[k] rezultaţi din transformarea directă, sau doar o parte dintre ei. Evident că dacă se reconstruieşte semnalul x[k] utilizând doar o parte dintre componentele y[k] , atunci rezultatul obţinut va fi unul diferit de cel original. Aceasta este şi ideea folosită pentru crearea unor algoritmi de compresie a datelor. Spre exemplu se reţin doar componentele care au o contribuţie energetică semnificativă, iar celelalte se înlătură. Altfel spus, semnalul x[k] este reprezentat nu prin cele N componente rezultate din TCD, ci doar prin N* componente, cu N*<N. Acesta este, în mare, principiul după care se realizează compresia de imagini de tip "jpg". 4.8. Transformări şi sisteme 4.8.1. Transformări ale sistemelor discrete Până acum am văzut că transformările prezentate se aplică secvenţelor de date, fie că acestea vor ajunge la intrarea unui sistem, fie că provin de la ieşirea unui sistem. Prin sistem liniar înţelegem un algoritm de calcul de forma 4.18.

x h y k] -[n 1-N

0k[k][n] ⋅= ∑

= (4.18)

La rândul lor şi transformările studiate sunt tot liniare, de aceeaşi formă, doar funcţia h[k] fiind alta, aşa cum este cazul TFD. Să aplicăm acum operatorul TFD relaţiei 4.18, care defineşte un sistem liniar.

⋅=

= ∑ k] -[n x1-N

0k [k]h TFD ]n[yTFD (4.19)

Relaţia 4.19 arată că TFD se poate aplica atât semnalelor cât şi sistemului, situaţie sintetic prezentată în figura 4.7. Posibilităţile de procesare sunt rezultatul comutativităţii algoritmului sistemului şi al transformării. Rezultatul are o importanţă deosebită, el arătând că atât sistemul cât şi semnalele pot fi analizate atât în domeniul timp, cât şi în domeniul frecvenţă. Oricărui semnal x[n] sau sistem liniar h[n] i se poate face analiza spectrală, după care se poate reveni la domeniul timp.

Page 113: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 103

[n][n]1-N

0kk]-[n[k] h*xhxy[n] =⋅= ∑

=

x[n]

X[jΩ]

h[n]

H[jΩ] Y[jΩ] = X[jΩ] ⋅ H[jΩ]

h[n]

TFD

x[n]

[n][n]1-N

0kk]-[n[k] h*xhxy[n] =⋅= ∑

=

TFD TFD

TFDI TFDI TFDI

Figura 4.7. Transformata Fourier aplicată semnalelor şi sistemului.

Se observă că secvenţa y[n] se poate obţine în două feluri:

• Direct la ieşirea sistemului prin procesare în domeniul timp, cu ajutorul algoritmului de tipul celui din relaţia 4.18;

• O cale mai “ocolită” presupune aplicarea TFD semnalului de intrare, propagarea sa prin transformata sistemului, după care urmează aplicarea TFDI pentru obţinerea semnalului discret y[n]. Deşi pare mult mai complicată şi mai consumatoare de timp de calcul, această variantă de procesare este frecvent folosită, mai ales pe sistemele cu procesoare DSP. Avantajul major al acestei variante rezultă din faptul că ea permite analiza semnalului de intrare în domeniul frecvenţă. În plus, se observă simplitatea procesării în domeniul frecvenţă: transformata semnalului de ieşire se obţine extrem de simplu, prin înmulţirea transformatei semnalului de intrare cu transformata sistemului. Tot pe baza acestui tip de procesare se bazează una din metodele de proiectare a algoritmului sistemului 4.18, pentru ca acesta să aibă o comportare anume în domeniul frecvenţă.

Page 114: curs_PNS

104 Sisteme şi Semnale în Timp Discret

4.8.2. Caracteristica de frecvenţă a unui sistem discret Cel mai important aspect legat de transformarea Fourier discretă aplicată unui sistem discret este acela că ea furnizează caracteristica de frecvenţă a acestuia. Aplicând relaţia de definiţie, rezultă:

e h H 1N

0ko]n [ TFD

Tek on j[k]∑

Ω−⋅= (4.20)

Pentru implementare vom facem referire strict la cele N eşantioane din secvenţa de intrare luate în calcul. Vor rezulta astfel N valori:

e h H1N

0k[n] TFD

Nkn 2π j

[k]∑−

=

−⋅= (4.21)

Observaţii:

• TFD a semnalului furnizează spectrul de frecvenţă al semnalului. • TFD a sistemului furnizează caracteristica de frecvenţă a sistemului. • Modulul relaţiei 4.21, arată care este amplitudinea unei sinusoide la

ieşirea sistemului, atunci când la intrarea sa se aduce o sinusoidă de amplitudine unitară.

• Argumentul valorii complexe H[n], arată care este defazajul dintre sinusoida de intrare şi cea de ieşire.

• Relaţia 4.21 nu dă informaţii despre toate frecvenţele, ci doar despre N dintre ele. Deci forma caracteristicii de frecvenţă determinate cu ajutorul TFD este de asemenea discretă.

• Cele N frecvenţe cărora le putem determina amplitudinea la ieşire reprezintă valorile rezultate prin divizarea echidistantă a domeniului de frecvenţă: –Fe/2 ÷ +Fe/2 în N intervale. Evident în analiza unor sisteme reale, vor fi de interes doar valorile pozitive ale acestor frecvenţe, atunci când se trasează caracteristica de frecvenţă.

În concluzie, cunoscând coeficienţii h[n] ai unui sistem discret liniar, se poate determina caracteristica sa de frecvenţă. Trebuie menţionată acum şi reciproca acestei menţiuni, şi anume posibilitatea determinării coeficienţilor sistemului, atunci când este cunoscută caracteristica de frecvenţă. Aşa cum se observă în figura 4.6, aceasta se face prin aplicarea TFDI transformatei TFD a sistemului. Metoda va fi descrisă pe larg în capitolul de proiectare a filtrelor numerice.

Page 115: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 105

4.9. Aplicaţii Problema 4.1. Se dă secvenţa x[n] definită astfel: x[n] = 0; 1/4; 1/2; 3/4; 1 şi zero în rest. a. Să se deseneze secvenţa: y[n] = x[n-1]; b. Să se deseneze secvenţa: y[n] = 2⋅x[n-1]; c. Să se deseneze secvenţa: y[n] = x[-n]; d. Să se deseneze secvenţa: y[n] = x[2-n]; e. Să se deseneze secvenţa: y[n] = x[n-1]+x[1-n]; Soluţie: a. y[0] = x[0-1] = x[-1]

y[1] = x[1-1] = x[0] y[2] = x[2-1] = x[1], etc.

1

0 1 2 3 4 n

1/41/2

3/4

1x[n]

0 1 2 3 4 5 n

1/41/2

3/4

1y[n] =x[n-1]

a.

-3 -2 -1 0 1 2 3 4 5 n

1/41/2

3/4

y[n] =x[2-n]

d.

Figura 4.8. Soluţie la problema 4.1. Deci x[n-1] reprezintă o secvenţă întârziată cu un interval de eşantionare, faţă de secvenţa x[n], iar grafic aceasta apare “desenată” cu un interval la dreapta, aşa cum este arătat în figura 4.8.a.

d. y[n] = x[2-n] Rezultă: y[-2] = x[2-(-2)] = x[4] = 1

Page 116: curs_PNS

106 Sisteme şi Semnale în Timp Discret

y[-1] = x[2-(-1)] = x[3] = 3/4 y[0] = x[2-0] = x[2] = 1/2 y[1] = x[2-1] = x[1] = 1/4 y[2] = x[2-2] = x[0] = 0, etc.

Rezultatul este prezentat sintetic în figura 4.8.d Problema 4.2. Pentru secvenţele de date din figura 4.9,

a. Să se deseneze secvenţa: y[n] = x[n-1]; b. Să se deseneze secvenţa: y[n] = 0,5⋅x[n-1]; c. Să se deseneze secvenţa: y[n] = x[-n]; d. Să se deseneze secvenţa: y[n] = x[5-n]; e. Să se deseneze secvenţa: y[n] = x[n-10]+x[10-n].

0 1 2 3 4 5 6 7 8 n

1/41/2

3/4

1

0 1 2 3 4 5 6 7 8 n

12

3

4x[n] x[n]

Figura 4.8. Semnale pentru problema 4.2. Problema 4.3. Un sistem discret este definit de algoritmul următor: y[n] = x[n] – 2x[n–1] + x[n–2]. Precizaţi dacă sistemul este:

a. liniar / neliniar; b. cauzal / necauzal; c. variant / invariant; d. stabil / instabil; e. recursiv / nerecursiv.

Problema 4.4. Răspundeţi la aceleaşi întrebări ca şi în problema precedentă, în cazul în care sistemele sunt definite de următorii algoritmi:

a. y[n] = x[n+1] – 2x[n–1] b. y[n] = x[n] – 2y[n] c. y[n] = x[n] – 2x[n–1] + 0,001 y[n–2].

Problema 4.5. Un sistem discret este definit de algoritmul: y[n] = x[n] – 2x[n–1] + x[n–2]. Secvenţa x[n] este cea din figura următoare: a. să se determine şi să se deseneze secvenţa de ieşire y[n]; b. Ce formă ar avea y[n] dacă x[n]= Asin (2π⋅n⋅F / Fe) unde F=50 Hz,

Fe=1000 Hz?

Page 117: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 107

c. Care ar fi în acest caz defazajul dintre tensiunea de intrare şi cea de ieşire?

d. Ce formă ar avea tensiunea de ieşire dacă x[n]= δ[n] (impuls unitar) ?

n

n

X[n]

Y[n]

Figura 4.9. Semnale pentru problema 4.5.

Problema 4.6. Pentru un sistem liniar şi invariant în timp se cunoaşte răspunsul la impuls unitar h[n]. Să se determine răspunsul sistemului dacă se cunoaşte secvenţa de intrare x[n] pentru exemplul din figura 4.10.

n

n

x[n]

h[n]

1

2

n

y[n]

1

Figura 4.10. Semnale pentru problema 4.6.

Problema 4.7. Un semnal este prelucrat cu algoritmul: y[n] =2 x[n] – x[n–1] + 0,5⋅x[n–2]. Din secvenţă sinusoidală de intrare x[n] se cunosc doar primele trei eşantioane: x[0] =1; x[1] =2; x[2] =0,5;

Page 118: curs_PNS

108 Sisteme şi Semnale în Timp Discret

a. Să se determine parametrii sinusoidei de intrare, din care fac parte cele trei eşantioane, ştiind că frecvenţa de eşantionare este Fe=200Hz.

b. Este soluţia unic determinată? c. Să se determine secvenţa de ieşire. d. Aparţin punctele din secvenţa de ieşire unei sinusoide având

frecvenţa egală cu cea de la intrare? e. Dacă da, determinaţi amplitudinea sinusoidei de la ieşire.

Problema 4.8. Secvenţa x[n] de mai jos conţine următoarele 10 date:

x[n] = 2; 1; 1; 0; 3; 2; 0; 3; 4; 6 a. Să se calculeze Transformata Fourier Discretă X[k] a secvenţei; b. Să se calculeze X[0] şi X[5], fără a utiliza calculul TFD;

c. Să se calculeze ∑=

9

0kX[k] ; d. Să se calculeze ∑

=

9

0k

2X[k] .

Soluţie:

b. 221xexX9

0k[k]

N/k02j9

0k[k]]0[ =⋅=⋅= ∑∑

=

⋅⋅π−

=

( ) =−⋅=π⋅−π⋅=⋅= ∑∑∑==

⋅⋅π−

=

k9

0k[k]

9

0k[k]

10/k52j9

0k[k]]5[ )1(x)ksin(j)kcos(xexX −2

c.

∑∑∑∑∑∑∑=

⋅⋅π−

=

⋅⋅π−

==

⋅⋅π−

===

⋅=⋅=⋅=9

0n

10/kn2j9

0k[k]

10/kn2j9

0n[k]

9

0k

10/kn2j9

0k[k]

9

0n

9

0n[n] exexexX

∑∑∑∑=

⋅⋅π−

=

⋅⋅π−

=

⋅⋅π−

=

⋅⋅π− ⋅++⋅+⋅+⋅=9

0n

10/n92j[9]

9

0n

10/n22j[2]

9

0n

10/n12j[1]

9

0n

10/n02j[0] ex..exexex

Sumele din ultimii 9 termeni se calculează mai uşor dacă reprezentăm numerelor complexe, ca în figura 4.11. Din motive de simetrie pentru k=1 suma părţilor reale şi imaginare va fi nulă. Pentru k=2, suma este:

( ) 0)144cos(2)72cos(2)0cos(2e 009

0n

10/n22j =⋅+⋅+=∑=

⋅⋅π−

Rezultă: [0][9][2][1]

9

0k[0]

9

0n[n] x100 x.....0 x0 x1 xX ⋅=⋅++⋅+⋅+⋅= ∑∑

==

d. Se foloseşte teorema Parseval: ∑∑==

⋅=9

0k

2]k[

9

0k

2]k[ x10X

Page 119: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 109

Re

Im

0

n=1

n=2

n=4

n=5

n=6

n=7 n=8

n=9

k =1

n=3

Re

Imn=1,6

n=2,7

n=3,8

n=4,9

n=0,5k =2

Figura 4.11. Soluţie la problema 4.8 Problema 4.9. Să se calculeze Transformata Fourier Discretă a secvenţelor de date din figura următoare:

0 1 2 3 4 n

1/41/2

3/4

1

0 1 2 3 4 5 6 7 8 n

1/41/2

3/4

1

Figura 4.12. Semnale pentru problema 4.9

Problema 4.10. Calculaţi TFD pentru următoarele secvenţe x[n] de lungime N:

a. x[n] = δ[n-no] ; unde 0 < no < N;

b.

<≤≤≤

= N n N/2 pentru 0

1)-/2N( n 0 pentru 1x ]n[

c.

=impar n pentru 0par n pentru 1

x ]n[

Problema 4.11. Să se verifice în Matlab rezultatele problemelor: 4.1, 4.2, 4.5, 4.6, 4.8, 4.9 şi 4.10.

Problema 4.12. X[k] reprezintă transformata Fourier Discretă a unei secvenţe x[n] de lungime N. a. Arătaţi că dacă x[n] satisface condiţia x[n] = −x[N−1−n] atunci X[0] = 0; b. Arătaţi că dacă N este par şi x[n] satisface condiţia x[n] = x[N−1−n] atunci X[N/2] = 0;

Page 120: curs_PNS

110 Sisteme şi Semnale în Timp Discret

Problema 4.13. Să se genereze o secvenţă conţinând L=113 eşantioane aparţinând unui semnal sinusoidal ( A=1, F=10, Fe=200).

a. Să se aplice secvenţei o ferăstruire de tip Hanning cu M=20; b. Să se aplice TFD atât secvenţei originale cât şi celei ferăstruite; c. Explicaţi diferenţele.

4.10. Bibliografie

[4.1] Oppenheim A.V., Schafer R.W., "Discrete-Time Signal Processing" Prentice Hall, Englewood Cliffs, New Jersey 07632, 1989.

[4.2] Mateescu A., „Prelucrarea numerică a semnalelor”, Ed. Tehnică, Bucureşti, 1997.

[4.3] *** „Numerical Recipes in Pascal”, Cambridge University Press, 1990.

[4.4] *** http://www.dspguide.com/ch5.htm

[4.5] *** http://www.jhu.edu/~signals/sysprop/sys.html

[4.6] *** http://www.bores.com/courses/intro/basics/index.htm

[4.7] *** www.mathworks.com/access/helpdesk/help/toolbox/signal/basics1.shtml

Page 121: curs_PNS

5. PROCESĂRI NUMERICE ÎN DOMENIUL TIMP 5.1. Introducere Am văzut în capitolul anterior că există două modalităţi de descriere a unui semnal: în domeniul timp sau în domeniul frecvenţă. Cu siguranţă acestea nu sunt singurele modalităţi de a descrie un semnal, dar sunt cele mai importante. O primă consecinţă a acestui mod de descriere este faptul că semnalele discrete pot fi prelucrate atât în domeniul timp, cât şi în domeniul frecvenţă. Capitolul de faţă se ocupă cu prelucrarea semnalelor în domeniul timp. Procesările din acest capitol nu presupun cunoaşterea unor instrumente matematice complexe şi în plus algoritmii prezentaţi aici produc adesea rezultate uşor de intuit. Cel puţin din acest motiv capitolul de faţă este potrivit pentru introducere în tehnica procesărilor numerice. Este util să începem în acest fel, fiindcă astfel se câştigă deprinderea procesării secvenţelor de date numerice, provenite cel mai adesea din achiziţii de date din procese reale. Caracterul intuitiv al unor procesări numerice de semnal rezultă din analogia cu procesarea semnalelor analogice din care acestea provin. Procesul de achiziţie numerică este în fond primul proces de procesare în domeniul timp al oricărui semnal şi va reprezenta o etapă de referinţă pentru prelucrările ulterioare. Majoritatea procesărilor din acest capitol ţin cont de caracterul secvenţial al setului de date supus procesării, caracter rezultat din procesul de achiziţie. Alături de acestea vor fi amintite şi unele prelucrări statistice ale semnalelor, prelucrări care se efectuează de regulă off line, pe semnale stocate în baze de date.

Page 122: curs_PNS

112 Procesare Numerică în Domeniul Timp

5.2. Medierea Algoritmul propus este o simplă medie aritmetică a ultimelor M eşantioane din secvenţa de intrare x[n] .

∑−

=−=

1 M

0 i ] in [[n] x

M1y (5.1)

Deşi extrem de simplu, algoritmul 5.1 produce date utile. Spre exemplu, dacă semnalul x[n] conţine variaţii rapide între eşantioane apropiate, datorate unor zgomote, prin mediere acestea vor dispărea. Acest tip de zgomote pot fi astfel uşor înlăturate, rezultând un semnal “curat”. 5.3. Derivarea / Diferenţierea Definiţia derivatei de ordinul întâi a unei funcţii continue x(t), este:

dtdx

tΔ xΔlim(t)xy(t)

0tΔ

' ===→

(5.2)

Semnificaţia geometrică a derivatei unei funcţii continue este prezentată în figura 5.1.

t

t

ϕ

ϕ

t0

n0

x (nTe)

x (t)

n Figura 5.1. Derivata întâi definită pentru funcţii continue şi funcţii discrete.

Page 123: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 113

Valoarea derivatei într-un punct este egală cu tangenta unghiului făcut de tangenta geometrică la graficul funcţiei în acel punct. În cazul derivării unei funcţii în timp discret, plecând de la definiţia 5.2 se obţine:

( )1] -[n [n]1] -[n [n]

[n][n] x-xTe1

Te1)-(n -Tenx-x'xy =

⋅⋅== (5.3)

Vorbind despre semnale discrete, termenul consacrat este cel de diferenţiere în locul celui de derivare. Aşa cum se observă în figura 5.1, valoarea derivatei unei secvenţe numerice este egală cu tangenta unghiului făcut cu axa absciselor de dreapta ce uneşte valorile a două eşantioane succesive: eşantionul curent x[n] şi cel anterior lui x[n−1]. Prin simpla derivare a unei secvenţe x[n], se va obţine o altă secvenţă y[n], cu unele proprietăţi extrem de interesante legate de viteza de variaţie a semnalului între două momente de eşantionare consecutive. Trebuie menţionat că analiza vitezei de variaţie se putea face şi altfel decât în modul descris de relaţia 5.3, luând spre exemplu în calcul eşantioanele x[n+1]- x[n]. Procedând în acest fel nu ar mai fi însă posibilă analiza on line a semnalului, deoarece în algoritm este implicat eşantionul “viitor” x[n+1], care nu există la momentul calculării derivatei. Derivata unui semnal produce date extrem de interesante şi utile. Să presupunem că în secvenţa x[n] există o porţiune în care toate valorile sunt egale între ele. În urma derivării, scăzând valori egale pe acea porţiune vor rezulta doar valori nule în semnalul rezultat y[n]. Similar, dacă pe o porţiune, semnalul variază foarte lent, în urma derivării, vor rezulta valori foarte mici în semnalul y[n]. Semnalul pe care l-am presupus şi anume unul foarte lent variabil poate fi spre exemplu un semnal sinusoidal de frecvenţă foarte joasă. Iată deci că dacă la „intrarea unui algoritm” de diferenţiere de ordinul întâi se aduce un semnal în timp discret de frecvenţă foarte scăzută, la ieşirea blocului de calcul va rezulta un semnal de valoare foarte mică. Dacă în schimb avem o porţiune de semnal x[n] în care valorile învecinate variază mult între ele, provenind spre exemplu dintr-un semnal sinusoidal de frecvenţă ridicată, atunci prin derivare vor rezulta valori numerice mari. Acest fapt permite identificarea fronturilor abrupte din componenţa unui semnal, caracterizate de diferenţe mari între eşantioane apropiate. În ipoteza că semnalul x[n] ar fi format dintr-un semnal continuu şi unul de frecvenţă ridicată, rezultă în urma celor prezentate că semnalul continuu nu se va regăsi în semnalul de ieşire. Iată deci că algoritmul de derivare are proprietăţi selective faţă de semnale de frecvenţă diferită, el permiţând îndepărtarea componentei continue, sau diminuând foarte mult componentele de frecvenţă foarte joasă.

Page 124: curs_PNS

114 Procesare Numerică în Domeniul Timp

Ca şi în cazul funcţiilor în timp continuu, şi pentru funcţiile în timp discret se poate defini derivata de ordinul doi:

( )) 2] -[n x- 1]-[n x(x-xTe1

Te1)-(n -Tenx'-x'

''x -1] -[n [n]1] -[n [n]

[n] =⋅⋅

= (5.4)

Rezultă: ( )2] -[n 1] -[n 2 [n][n] xx-xTe1''x +⋅= (5.5)

O analiză similară cu cea anterioară ne permite să identificăm componentele fronturilor abrupte sau să eliminăm componentele continue ale derivatei întâi ale secvenţei de intrare, ceea ce este similar cu a determina punctele de inflexiune ale graficului secvenţei de intrare x[n] . O soluţie interesantă o constituie derivarea dintre valori mediate, care ne oferă o valoare medie a derivatei calculate pentru ultimele M eşantioane.

∑∑−

=−−

=− −=

1 M

0 iM

1 M

0 i ] in [ ] in [[n] x

M1 x

M1y (5.6)

Un astfel de algoritm combină efectele menţionate la algoritmul de mediere şi cel de derivare. 5.4. Corelaţia Considerând o secvenţă x[n] zisă „de intrare”, şi o secvenţă dată h[n] de lungime constantă M, relaţia de definiţie a corelaţiei dintre x[n] şi h[n] este următoarea:

1M

0kxh y k] [n [k][n] ∑

=⋅= + (5.7)

În cazul în care relaţia 5.7 se implementează pentru procese on line, atunci pentru a evita implicarea în calcule a unor eşantioane viitoare, este nevoie ca semnalul x[n] să fie întârziat cu M-1 eşantioane. Relaţia devine:

1M

0kxh y k] 1)-(M -[n [k][n] ∑

=⋅= + (5.8)

Corelaţia are importante aplicaţii practice. Astfel ea poate servi la identificarea unor forme cunoscute în evoluţia semnalului. Să presupunem că suntem interesaţi de identificarea formei h[n] în semnalul x[n] , aşa cum este ilustrat în figura 5.2. Pentru aceasta, „vom plimba”

Page 125: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 115

semnalul h[n], numit şablon, de-a lungul semnalului x[n]. În momentul coincidenţei şablonului cu o parte din semnalul x[n] , rezultatul produs de algoritmul (5.8) va fi maxim şi în consecinţă se poate lua decizia: „în acest moment a apărut evenimentul cercetat”. Chiar dacă semnalul x[n] este înecat în zgomot, în momentele în care forma semnalului este apropiată de cea a şablonului, rezultatul produs de algoritm va fi tot unul de amplitudine mare.

x[n]

y[n]

ECG cu zgomot

ECG corelat cusablonul h[n]

h[n]

Figura 5.2. Corelaţia unui semnal ECG înecat în zgomot, cu un şablon h[n] ales adecvat.

Se produce deci un rezultat de valoare maximă atunci când şablonul “se potriveşte cel mai bine” cu semnalul. În literatura de specialitate, acest algoritm este cunoscut şi sub denumirea de template matching. Această tehnică este folosită spre exemplu la identificarea unor forme în semnale biomedicale, aşa cum este arătat în exemplul din figura 5.2, unde se observă că după corelaţie semnalul rezultat permite identificarea uşoară a momentelor în care “bate” inima. Se observă o întârziere sistematică a momentului identificării, întârziere dată de lungimea N a şablonului h[n].

Page 126: curs_PNS

116 Procesare Numerică în Domeniul Timp

O altă aplicaţie tipică este radarul, la care corelaţia permite recunoaşterea semnalelor reflectate de la un avion. Semnalul emis are o anumită formă (şablon). După reflexia lui de pe suprafaţa unui avion, el se întoarce înapoi cu o amplitudine extrem de mică şi este recepţionat alături de multe alte zgomote. Dacă se face corelarea semnalului emis cu cel recepţionat, maximul acestuia va indica apariţia unui obiect în câmpul de cercetare al radarului. Atunci când corelaţia unui semnal se face cu el însuşi, algoritmul se numeşte autocorelaţie. Prin acest procedeu se identifică cel mai uşor existenţa periodicităţii evenimentelor apărute într-un semnal. 5.5. Convoluţia Este unul dintre cei mai importanţi algoritmi utilizaţi în procesarea numerică a semnalelor. Convoluţia dintre un semnal x[k] şi un al doilea h[k] de lungime M, se defineşte astfel:

[n] [n]k] -[n [k][n] xh xh y1N

0k

∗⋅= ∑−

=

= (5.9)

Convoluţia mai poartă denumirea de produs de convoluţie. Dacă observăm că x[−k] reprezintă imaginea reflectată în oglindă a eşantionului x[k] atunci convoluţia poate fi privită ca fiind corelaţia unui semnal cu imaginea sa reflectată „în oglindă”.

x [n] y [n]h [n]

Figura 5.3. Convoluţia între secvenţele de date h[n] şi x[n].

Relaţia 5.9 poate fi privită în două feluri: ca şi convoluţie între două semnale x[n] şi h[n] , sau ca şi convoluţie între două secvenţe de date: un semnal x[n] , zis de intrare şi coeficienţii h[n] ai unui sistem liniar, aşa cum este prezentat în figura 5.3.

5.5.1. Implementarea algoritmului de convoluţie

În ipoteza că h[n] reprezintă coeficienţii unui sistem liniar, este util să avem o imagine exactă a ceea ce înseamnă implementarea algoritmului 5.9

Page 127: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 117

atunci când semnalul x[k] zis de intrare, reprezintă un şir de date rezultate dintr-un proces de achiziţie. Pentru aceasta să considerăm un exemplu numeric şi anume N=4.

y[n] = x[n]⋅h[0] + x[n-1]⋅h[1] + x[n-2]⋅h[2] + x[n-3]⋅h[3] (5.10)

Raportarea momentului calculului eşantionului curent la semnalul de intrare este prezentată în figura 5.3.

nTe

x[n]

h[0]

nTe

y[n]

x[n]x[n-1]x[n-2]x[n-3]

Ultimele 4 eşantioane sosite

y[n]

h[1]h[2]h[3]

Te

Figura 5.3. Obţinerea eşantionului curent de ieşire.

În sinteză: • La convoluţia dintre coeficienţii unui sistem liniar şi semnalul de

intrare, eşantionul curent de ieşire se obţine ca sumă ponderată a ultimelor N eşantioane ale semnalului de intrare, coeficienţii de pondere fiind cele N valori ale coeficienţilor h[k] ai sistemului.

• Aceasta obligă rezervarea unei locaţii de memorie, pentru memorarea ultimelor N eşantioane ale semnalului de intrare.

• Pentru calculul eşantionului de ieşire sunt necesare N înmulţiri şi N-1 adunări.

• La sosirea unui nou eşantion al semnalului de intrare, fereastra definită de cei N coeficienţi se va deplasa şi ea cu un pas “spre dreapta”, producând astfel un nou eşantion în semnalul de ieşire.

Page 128: curs_PNS

118 Procesare Numerică în Domeniul Timp

• O problemă practică interesantă este cea legată de calculul primelor N-1 eşantioane ale semnalului de ieşire, adică a eşantioanelor aflate la “capătul din stânga” al semnalului y[n]. Dar care este problema? Pentru a o pune în evidenţă, este suficient să calculăm primul eşantion al semnalului de ieşire adică y[0] :

y[0] = x[0] h[0] + x[-1] h[1] + x[-2] h[2] + x[-3] h[3] (5.11)

Se observă că în calcul intervin: x[-1] , x[-2] şi x[-3] . Ele sunt eşantioane inexistente fizic şi au semnificaţia unor eşantioane care provin dinaintea începerii experimentului, când semnalul x[n] încă nu exista. Pentru a depăşi impasul, se consideră că toate aceste eşantioane sunt nule. Metoda se numeşte zero-padding.

• Prin zero-padding semnalul s-a prelungit la stânga cu N-1 eşantioane nule. Este evident că această tehnică introduce o “alterare” a semnalului la margine, fiindcă semnalul x[n] iniţial nu mai coincide cu cel prelungit.

• În consecinţă partea de început a semnalului de ieşire va fi diferită de restul semnalului de ieşire, fiind bazată pe informaţii artificiale ale semnalului de intrare. Fenomenul poate fi asociat cu fenomenele de tranziţie care au loc în circuitele electronice, atunci când acestea sunt conectate la tensiune.

• Dacă h[n] are M elemente, iar x[n] este finit, având lungimea N, atunci lungimea secvenţei de ieşire y[n] este de M+N-1 elemente.

• Pentru a rezolva problema calculului la capetele semnalului există si alte tehnici de prelungire a semnalului x[n]:

- prelungirea prin simetrie; - prelungirea prin periodicitate; - prelungirea prin extrapolare liniară, etc.

• În Matlab funcţia care realizează calculul convoluţiei a două secvenţe este conv(h,x).

5.5.2. Proprietăţi ale convoluţiei

Iată câteva din proprietăţile produsului de convoluţie: • Comutativitatea : h[n] * x[n] = x[n] * h[n].

Privind lucrurile din punct de vedere al sistemului din figura 5.3, comutativitatea nu are în acest caz o semnificaţie fizică, decât aceea că din punct de vedere matematic secvenţele x[n] şi h[n] pot fi schimbate între ele.

Page 129: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 119

• Asociativitatea : h2[n] * (h1 [n] * x[n]) = (h2 [n] * h1 [n])*x[n] Permite echivalarea a două convoluţii efectuate în serie:

x [n] y [n]h1[n] h2[n]y [n]h2[n]* h2[n]

x [n]⇔

• Consecinţă a asociativităţii transformărilor liniare, se poate arăta că rezultatul final este acelaşi dacă se aplică o altă transformare semnalului de intrare înainte de convoluţie sau după convoluţie.

x [n] y [n]

h[n]

h[n]

x’ [n]

y’ [n]

T T

• Distributivitatea: (h1[n] * x[n]) + (h2[n] * x[n] )= (h2[n] + h2[n] )* x[n] Permite echivalarea a două convoluţii efectuate în paralel:

x [n] y [n]

h1[n]

h2[n] y [n]h2[n]+ h2[n]x [n]

• Convoluţia unui semnal cu un impuls unitar permite exprimarea matematică a unor operaţii elementare aplicate semnalului. (Impulsul unitar este definit de funcţia δ[n] având valoarea 1 pentru n=0 şi zero în rest.)

- Identitatea x[n] = x[n] * δ [n] - Amplificarea k⋅x[n] = x[n] * k⋅δ [n] - Întârzierea x[n– No] = x[n] * δ [n – No]

• Dacă la intrarea sistemului din figura 5.2 se aduce un impuls unitar (un singur eşantion având valoare unitară), atunci la ieşirea sistemului vor apare toţi coeficienţii filtrului în ordine. Acest lucru poate fi uşor probat pe figura 5.3. De aceea coeficienţii h[n] ai sistemului mai poartă denumirea de răspuns la impuls.

5.5.3. Aspecte spectrale ale convoluţiei

Una dintre cele mai importante proprietăţi ale convoluţiei este legată de domeniul frecvenţă. Am văzut în capitolul precedent că transformata Fourier se poate aplica atât sistemelor cât şi semnalelor.

Page 130: curs_PNS

120 Procesare Numerică în Domeniul Timp

Aşa cum se vede din figura 5.4, convoluţia semnalelor x[n] şi h[n] se poate calcula pe două căi:

• Direct, folosind definiţia (5.9) • O cale “mai ocolită”, implicând şi transformata Fourier, în felul

următor: - se calculează transformatele Fourier ale lui x[n] şi h[n] ; - se face produsul acestora; - se calculează transformata Fourier inversă a produsului.

La prima vedere, a doua cale pare o soluţie în care se efectuează mai multe calcule. Cu toate acestea, vom vedea mai departe că are numeroase aplicaţii în care se economiseşte timp de calcul. Convoluţia a două semnale în domeniul timp însemnă produsul lor în domeniul frecvenţă. Reciproc, convoluţia a două semnale în domeniul frecvenţă reprezintă produsul lor în domeniul timp. Ea este menţionată în literatura de specialitate ca: teorema convoluţiei.

[n]h*[n]x1-N

0kk]-[nh[k]xy[n] =

=⋅= ∑x[n]

X[jΩ]

h[n]

H[jΩ]Y[jΩ] = X[jΩ] ⋅ H[jΩ]

h[n]

TFD

x[n]

TFD

TFDI

y[n] = TFDI X[jΩ] ⋅ H[jΩ]

Figura 5.4. Despre teorema convoluţiei

În exemplul din figura 5.5 este ilustrată teorema convoluţiei. Este prezentat rezultatul convoluţiei unui semnal compus din două armonici de frecvenţe F1 şi F2 cu un al doilea semnal având un spectru continuu între 0 şi Ft. În paralel, este arătată şi semnificaţia convoluţiei în domeniul frecvenţă.

Page 131: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 121

Domeniul timp Domeniul frecvenţă

f

f

f

Ft

F1

sin (2πnFt/Fe)2πnFt/Fe

sin (2π n F1/Fe)+ sin (2π n F2/Fe)

sin (2π n F1/Fe)

nTe

F 1 F 2

nTe

nTe

Figura 5.4. Convoluţia şi semnificaţia ei în domeniul frecvenţă. Rezultatul convoluţiei celor două semnale se poate stabili rapid, interpretându-l ca fiind produsul spectrelor celor două semnale, rezultatul final fiind dispariţia frecvenţei F2. Iată deci că prin convoluţie am reuşit să înlăturăm (filtrăm) o frecvenţă din spectrul semnalului de intrare. Aceste aspecte vor fi reluate în capitolul dedicat procesărilor în domeniul frecvenţă. 5.6. Procesări neliniare

Aceste procesări au specific faptul că algoritmul de calcul a secvenţei de ieşire nu este o combinaţie liniară a secvenţei de intrare, ci are la bază funcţii neliniare (logaritmi, radicali, etc.) sau combinaţii neliniare. Un astfel de exemplu este transformarea mediană, cunoscută şi sub numele de filtru median. Trebuie evitată confuzia dintre „valoarea medie” a unei secvenţe şi “valoarea mediană". Valoarea medie, numită şi medie aritmetică, este o

Page 132: curs_PNS

122 Procesare Numerică în Domeniul Timp

transformare liniară, valoarea eşantionului la ieşire calculându-se cu relaţia 5.1, pe când transformarea mediană este o transformare neliniară. Algoritmul de calcul al valorii mediane este următorul: • Se selectează din şirul de date ce trebuie filtrat o secvenţa de date de

lungime M. În tehnica procesărilor numerice, o secvenţă scurtă dintr-un şir de date mai lung, se numeşte fereastră.

• M se numeşte ordin al filtrului median; • Se ordonează toate eşantioanele din fereastră în ordine crescătoare. • Dacă lungimea ferestrei este impară, atunci valoarea mediană y[n] va fi

egală cu valoarea eşantionului aflat în centrul ferestrei, după ordonare. • Dacă lungimea ferestrei este pară, mediana se calculează ca medie

aritmetică a celor două valori aflate în centrul ferestrei. • Se deplasează apoi fereastra cu un eşantion şi se reia algoritmul descris,

până la epuizarea setului de date.

Pentru calculul primei valori vom extinde şirul de date original cu valori de zero la stânga primului eşantion, câte sunt nevoie pentru a completa fereastra.

Exemplu. Se dă următorul set de date: [3; 8; 1; 4; 4; 5; 2; 0; 1]. a. Să se determine secvenţa de date rezultată prin trecerea acestui set

de date printr-un filtru median de ordinul trei. Rezultatul este: [3; 3; 4; 4; 4; 4; 2; 1; 0].

b. Să se determine secvenţa de date rezultată dacă se alege o fereastră de lungime patru (filtru median de ordinul patru). Rezultatul este: [1,5; 5,5; 4,5; 2,5; 4; 4,5; 3,5; 1; 0,5]

5.7. Procesări statistice ale semnalelor numerice Este bine să fie amintit aici şi acest tip de prelucrări ale semnalelor numerice. Această categorie de procesări este utilă, fiindcă oferă parametri cu caracter global despre semnalul analizat. Aceştia pot fi utili atunci când se doreşte aflarea unor trăsături specifice ale semnalului, identificarea sau încadrarea acestuia într-o anume categorie. Există şi statistici al căror rezultat poate induce în eroare, sau poate da informaţii în spatele cărora adevărul este altul. Spre exemplu, un ins are două pâini iar un altul nu are nici una. Rezultatul statisticii arată că pe cap de locuitor revine câte o pâine… Prelucrările statistice se fac de obicei off line. Fie că este rezultatul unor achiziţii de date din procese fizice, fie că reprezintă rezultatul unor activităţi

Page 133: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 123

contabile, etc, setul de date care se procesează există la un moment dat, fiind disponibil pe calculator şi nu mai suferă schimbări pe durata procesării. 4.6.1. Valoarea medie

∑=

⋅=1-N

0 k]k [ x

N1 μ (5.9)

N reprezintă numărul de date aflate în setul analizat, de exemplu numărul de date aflat într-un fişier rezultat din achiziţia unui semnal. 4.6.2. Deviaţia

( )∑−

=

−−

⋅=1N

0 k

2 2 μ x 1N

1 σ ]k [ (5.10)

4.6.3. Histograma

− Câte date de o anume valoare există într-un set de date? − Câte date aflate într-un anumit interval de valori există într-un set de date? La astfel de întrebări, răspunsul este dat de histograma setului de date.

n = 0; k = 0;

i = 0;

X[n] = k; i = i+1;

n = n + 1;

Da

n ≤ Ndat HST[k] = i;Da

k = k +1; n=0;

k ≤ KmaxDa

?

?

?END

Figura 5.5. Determinarea valorilor histogramei HST[k] Eşantioanele setului de date sunt x[n], iar xmax şi xmin sunt limitele între care se găsesc cuprinse valorile secvenţei. În fond domeniul [xmin ÷ xmax]

Page 134: curs_PNS

124 Procesare Numerică în Domeniul Timp

defineşte domeniul de valori al funcţiei x[n]. Să presupunem că setul de date x[n] este unul format din numere întregi, spre exemplu întregi codificaţi pe un octet. Vor fi deci 256 de valori posibile pe care le pot lua elementele din setul de date. Histograma este o reprezentare grafică a valorilor HST[k]. Dacă ne referim la exemplul ales, atunci pe axa absciselor se vor găsi cele 256 de valori, iar corespunzător fiecăreia din cele 256, se va reprezenta numărul HST, rezultat în urma algoritmului prezentat în figura 5.5.

0 1 2 3 4 255 k

HST[k]

Figura 5.6. Exemplu de histogramă. Dacă setul de date este format din numere reale, atunci pentru a stabili histograma se împarte domeniul de valori al semnalului [xmin ÷ xmax] în M intervale egale, şi se cercetează câte valori se găsesc în fiecare interval. O remarcă importantă referitoare la histogramă este aceea că spre deosebire de celelalte procesări amintite, ea nu produce un singur eşantion, ci produce un set nou de date. O astfel de procesare este o “transformare”, fiindcă pornind de la un set de date de intrare, ea produce un alt set de date. Prelucrările propuse în acest capitol pot fi realizate şi on line. În acest sens, din semnalul ce soseşte secvenţial din procesul de achiziţie, se alege o fereastră conţinând ultimele M eşantioane, iar acestei ferestre i se aplică algoritmul statistic propus, spre exemplu histograma. Evident că în acest fel histograma devine o histogramă dinamică, fiindcă la fiecare nou eşantion, este posibil ca ea să-şi modifice conţinutul.

Există şi alte tipuri de procesări asupra seturilor de date provenite din achiziţia de semnale, procesări care produc rezultate utile pentru analiza şi clasificarea semnalelor. Un astfel de exemplu este şi “numărul de treceri prin zero” ale semnalului, pentru o fereastră dată din semnal.

Page 135: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 125

5.8. Aplicaţii Problema 5.1. Fie două fişiere conţinând fiecare câte 1.000 de date. Valorile acestora sunt generate prin următoarele funcţii matematice:

a. x[n] = n

b.

=imparn pt 1parn pt 0

x[n]

Să se traseze histogramele seturilor de date din cele două fişiere. Problema 5.2. Se dau variabilele:

h[6] = [0 0 1 2 1 -1 0]; x[6] = [0 0 0 1 2 3 1];

a. Să se calculeze “cu creionul” produsul de convoluţie y[n] = h[n] * x[n] pe baza relaţiei 5.8.

b. Reprezentaţi grafic h[6], x[6], y[6]. c. Verificaţi rezultatul în Matlab.

Problema 5.3. În următoarea sesiune de lucru în Matlab: a. Să se genereze şi să se afişeze două perioade ale unui semnal

sinusoidal de frecvenţă 50 Hz, amplitudine 10 şi Fe= 1.000 Hz. b. Să se genereze un semnal aleator cu amplitudinea 1, care să se

însumeze cu cel de la punctul a. Să se afişeze grafic suma. c. Să se folosească un algoritm de mediere pentru înlăturarea

semnalului aleator din semnalul sumă. d. Să se afişeze rezultatul filtrării. e. Să se compare semnalul iniţial cu cel procesat şi să se analizeze

efectul lungimii ferestrei de mediere asupra rezultatului. Problema 5.4. În următoarea sesiune de lucru în Matlab:

a. Să se genereze şi să se facă graficul unui semnal sinusoidal de frecvenţă 50 Hz, amplitudine 10 şi având Fe= 1.000 Hz.

b. Să se folosească un algoritm de derivare de ordinul unu cu care să fie procesat semnalul de la punctul a.

c. Să se afişeze separat rezultatul. d. Cu ce diferă rezultatul, de cel care s-ar fi obţinut dacă derivam

întâi semnalul analogic şi apoi îl eşantionam? e. Să se facă derivata derivatei şi să se afişeze rezultatul.

Problema 5.5. În următoarea sesiune de lucru în Matlab: a. Să se facă derivata unui semnal audio. b. Să se analizeze numărul de treceri prin zero al semnalului audio

şi apoi al derivatei acestuia.

Page 136: curs_PNS

126 Procesare Numerică în Domeniul Timp

Problema 5.6. În următoarea sesiune de lucru în Matlab: Să se traseze histograma dinamică a unui semnal preluat dintr-un fişier .wav,

a. pentru o fereastră M = 10 ; b. pentru o fereastră M = 100.

Problema 5.6.. Unui filtru numeric i se aduce la intrare secvenţa x[n] = 2,3. La ieşirea lui apare y[n] = 0, 2,3. Să se determine structura şi valoarea coeficienţilor filtrului.

Soluţie: De vreme ce răspunsul filtrului este finit în timp, filtrul este de tip nerecursiv (FIR). Dacă h[n] are M elemente, iar x[n] este finit, având lungimea N, atunci lungimea secvenţei de ieşire y[n] este de M+N-1 elemente. Deci:

=−+=

31NM2N Rezultă: M= 2, adică filtrul are doi coeficienţi. Aceştia

vor fi h[0] şi h[1].

Dezvoltând se obţine

=⋅+⋅

=+⋅

22h3h

002h

]1[]0[

]0[ ⇒

=

=

1h

0h

]1[

]0[

5.9. Bibliografie

[5.1] Porat, B, “A Course in Digital Signal Processing”, John Wiley and Sons, 1997

[5.2] Ifeachor, E.C., Jervis, B.W., “Digital Signal Processing – A Practical Approach”, Addison/Wesley, 1999

[5.3] *** http://www.bores.com/courses/intro/time/index.htm

[5.4] *** http://www.jhu.edu/~signals/index.html

[5.5] *** http://www.dspguide.com/ch6.htm

[5.6] *** http://dsplab.eng.fiu.edu/DSP/Courses/index.html

[5.7] *** http://privatewww.essex.ac.uk/~mpthak/concise_dsp_tutorial.pdf

Page 137: curs_PNS

6. PROCESĂRI ÎN DOMENIUL FRECVENŢĂ

FILTRE NUMERICE 6.1. Introducere Pentru început o scurtă aducere aminte a ceea ce înseamnă filtrare în lumea semnalelor analogice.

x(t) y(t)

Figura 6.1. Bloc de prelucrare a unui semnal analogic. Filtrul analogic reprezintă un bloc funcţional cu proprietăţi selective în domeniul frecvenţei. Dacă semnalul x(t ) din figura 6.1 are un spectru de frecvenţă dat, atunci datorită filtrului, în spectrul semnalului y(t ) nu se vor regăsi frecvenţele care compun spectrul lui x(t) cu aceleaşi amplitudini, ci o anumită parte din ele vor diferi sau chiar vor lipsi cu totul. Deci acest bloc funcţional numit filtru analogic are proprietăţi selective faţă de anumite frecvenţe din spectrul semnalului de intrare. Construcţia acestor filtre se realizează cu circuite liniare conţinând ca elemente de circuit R, L, C, caz în care filtrul se numeşte filtru pasiv, iar dacă la aceste elemente se adaugă şi blocuri amplificatoare, atunci filtrul se numeşte filtru activ. Dacă la intrarea acestui circuit se aduce un semnal sinusoidal, evident şi semnalul de ieşire va fi tot sinusoidal dar este posibil să aibă o amplitudine diferită faţă de a celui de intrare, precum şi o fază diferită.

• Se numeşte „caracteristica amplitudine / frecvenţă” reprezentarea grafică a dependenţei de frecvenţă a modulului

Page 138: curs_PNS

128 Filtre Numerice

raportului dintre amplitudinea semnalului de ieşire şi amplitudinea semnalului de intrare, atunci când acestea sunt sinusoidale.

• Se numeşte „caracteristica fază / frecvenţă” dependenţa de frecvenţă a defazajului dintre semnalul sinusoidal de ieşire şi cel de intrare.

Vom încerca să transpunem cele prezentate anterior în domeniul semnalelor discrete. În figura 6.2 este prezentat un sistem a cărui funcţionalitate se doreşte a fi similară cu a filtrului analogic. La intrarea şi la ieşirea blocului de prelucrare nu se mai găsesc mărimi fizice, precum tensiuni electrice, ci se găsesc date numerice sau mai simplu spus, numere. În acest caz, blocul de prelucrare este un algoritm (regulă) de calcul ce generează o secvenţă de date la ieşirea sa, pornind de la o secvenţă de date de intrare. Pentru ca analogia să fie completă, va trebui să vedem ce efect are algoritmul de calcul asupra spectrului de frecvenţă al semnalului y[n] în raport cu cel al semnalului x[n].

x[n] y[n]

Figura 6.2. Bloc de prelucrare a unui semnal discret. Pare neverosimil pentru început că un algoritm de calcul poate duce la modificări spectrale, dar iată două exemple simple şi uşor de urmărit care ilustrează acest lucru.

6.1.1. Algoritm cu rol de filtru Trece Jos

1]-[nX21 [n]X

21 =

21]-[nX+ [n]X

= [n]y + (6.1)

Conform algoritmului propus, valoarea eşantionului furnizat la ieşirea filtrului la un moment dat este egală cu semi-suma dintre eşantionul curent de la intrare x[n] şi cel anterior, x[n-1].

Page 139: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 129

Te

+

1/2 1/2

y [ n]

x [ n]

Figura 6.3. Schema algoritmului 6.1.

Acest algoritm poate fi intuitiv reprezentat ca în figura 6.3, unde prin z-1 s-a notat celula de întârziere de ordinul 1. Această celulă realizează o întârziere în timp egală cu Te, deci la ieşirea ei este disponibil eşantionul imediat anterior. Din schemă rezultă modul de operare al algoritmului, şi anume: din secvenţa de eşantioane x[n] se ia eşantionul curent şi cel anterior, ambele se înmulţesc cu 1/2 şi apoi se însumează, rezultatul fiind depus la ieşirea filtrului.

a.) Pentru început aducem la intrarea filtrului un semnal provenit din eşantionarea unui semnal continuu constant, de valoare unitară, (x[n] = x[n-1] = x[n-2] =... =1), aşa cum este arătat în figura 6.4.

1

1

nTe

nTe

x n

y n

1

1

nTe

nTe

x [ n]

y [ n]

Fig. 6.4 Semnal continuu. Fig. 6.5. Semnal de frecvenţă

Fe/2. Semnalul continuu poate fi considerat ca provenind din eşantionarea în momentele învecinate maximului unei sinusoide de frecvenţă nulă

Page 140: curs_PNS

130 Filtre Numerice

(perioada tinde către infinit). Se poate uşor constata că aplicând algoritmul propus, la ieşirea filtrului vom avea y[n]=1, pentru orice moment ales sau cu alte cuvinte la ieşirea filtrului se va regăsi semnalul de la intrare. În acest caz, se poate afirma că "semnalul continuu (de frecvenţă nulă), trece nedeformat prin filtru". b). Vom aduce acum la intrarea aceluiaşi filtru secvenţa: x[n] =1; x[n-1] = -1; x[n-2] = 1; x[n-3] = -1; etc. Aşa cum se vede din figura 6.5, această secvenţă poate proveni din eşantionarea unui semnal sinusoidal având frecvenţa Fe/2, momentele eşantionării "căzând" chiar în momentele de extrem ale semnalului. Aplicând algoritmul 6.1, rezultă că la ieşire vom avea în permanenţă y[n]=0 pentru orice moment ales. Deci algoritmul 6.1 se comportă total diferit faţă de acest al doilea semnal comparativ cu primul, şi anume el nu permite trecerea semnalului de frecvenţă Fe/2. Făcând o sinteză a comportării acestui algoritm faţă de semnale de frecvenţe diferite şi plasând într-o caracteristică de frecvenţă cele două semnale exemplificate, rezultă că forma caracteristicii de frecvenţă a algoritmului propus este de tip filtru "trece jos" (FTJ), aşa cum este arătat în figura 6.6.

f

Fe / 2

1

|H|

Figura 6.6. Caracteristica aproximativă de frecvenţă a algoritmului 6.1.

6.1.2. Algoritm cu rol de filtru Trece Sus

1]-[nX21 [n]X

21 =

21]-[nX [n]X

= [n]y ⋅−⋅− (6.2)

Noul algoritm propus poate fi descris de schema din figura 6.7. Se observă că algoritmul are aceeaşi structură ca şi cel precedent (o

Page 141: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 131

singură celulă de întârziere), cu excepţia coeficientului de multiplicare al lui x[n-1].

Te

+

1/2 -1/2

Y [ n ]

X [ n ]

Figura 6.7. Schema algoritmului 6.2.

Vom aplica acestui algoritm pe rând cele două semnale utilizate în exemplul anterior. Rezultatul trecerii acestora este ilustrat în figurile 6.8 şi 6.9.

1

nTe

nTe

Xn -1 X n

Y n

1

1

nTe

nTe

Xn -1 X n

Y n

-1

-1

Fig. 6.8 Semnal continuu. Fig. 6.9. Semnal de frecvenţă Fe/2.

Concluzia acestui exemplu este acea că semnalul continuu (de frecvenţă nulă) nu trece prin filtru, pe când cel de frecvenţă Fe/2 trece nedeformat. Cele afirmate pot fi înglobate într-o caracteristică de frecvenţă aproximativă, căreia îi cunoaştem însă cu exactitate două puncte prin care va trece, cele corespunzătoare frecvenţelor 0 şi Fe/2.

Page 142: curs_PNS

132 Filtre Numerice

Forma caracteristicii determinată de aceste două puncte este una de tip „trece sus” şi ea este ilustrată în figura 6.10.

f

Fe / 2

1

|H|

Figura 6.10. Caracteristica aproximativă de frecvenţă a algoritmului 6.2.

În sinteză la exemplele prezentate, rezultă că algoritmii de calcul au o comportare selectivă faţă de semnale cu frecvenţe diferite, de unde şi justificarea denumirii lor de filtre. Deoarece aceste filtre sunt implementate cu algoritmi numerici, ele se numesc filtre numerice. Orice algoritm de calcul se încadrează în marea categorie cunoscută sub numele de procesare numerică de semnal. Obiectivele algoritmilor de calcul, implementaţi pe sisteme numerice, pot fi foarte diverse, aşa cum am arătat în capitolul 1.

În categoria procesărilor numerice de semnal, vom defini filtrul numeric ca fiind acel algoritm care are ca obiectiv

o comportare spectrală selectivă, apriori stabilită, faţă de semnalele pe care acestea le procesează.

Iată, deci, că toate filtrele numerice sunt algoritmi de calcul, care produc la ieşire o secvenţă y[n] pornind de la o secvenţă de intrare x[n], şi având ca scop o comportare selectivă faţă de semnale de frecvenţă diferită, cuprinse în secvenţa x[n] .

Există două mari categorii de probleme legate de filtrele numerice: • Impunându-se filtrului o anumită comportare în domeniul

frecvenţă (dată de obicei prin caracteristica de frecvenţă),

Page 143: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 133

trebuie determinată structura şi coeficienţii acestuia. Problema o vom numi în continuare „proiectarea filtrului”.

• Fiind dat un algoritm trebuie determinată comportarea sa spectrală. Problema o vom numi „analiza filtrului”.

6.2. Clasificarea filtrelor numerice Principial, un filtru numeric reprezintă un sistem liniar prin care „trece” un semnal. Criteriile de clasificare a filtrelor numerice sunt în parte aceleaşi cu ale sistemelor, de aceea le vom aminti sintetic, cu câteva precizări specifice. Dintre criteriile de clasificare a filtrelor numerice, cele mai importante sunt următoarele: 6.2.1. Filtre nerecursive / filtre recursive. Este un criteriu major de clasificare a filtrelor din punct de vedere al structurii algoritmului. Astfel, există două mari categorii:

a. Filtre nerecursive

Aceste filtre au specific faptul că ieşirea y[n] a filtrului depinde doar de valoarea ultimelor N eşantioane din secvenţa de intrare x[n] şi de valoarea celor N coeficienţi ai filtrului. Acest tip de filtru este definit de algoritmul următor:

x h y1N

0kk] -[n [k][n] ∑

=

⋅= (6.3)

Grafic, structura de filtru nerecursiv este ilustrată în figura 6.11. Reprezentarea grafică a unui filtru prezintă foarte intuitiv circulaţia fluxului de date. Se mai numeşte şi filtru „transversal”. Observaţii: • Blocul grafic notat cu z−1 reprezintă „celula de întârziere”. Dacă la

intrarea ei se află eşantionul curent, atunci la ieşirea ei se află eşantionul anterior acestuia. Din punct de vedere fizic acest lucru este uşor de realizat: dacă secvenţa de date de intrare ocupă cronologic un anumit spaţiu de memorie, şi dacă eşantionul curent este x[n] , atunci x[n-1] reprezintă eşantionul mai vechi cu o perioadă de eşantionare, şi care ocupă locaţia de memorie învecinată.

• Fiecare eşantion este multiplicat cu unul din cei N coeficienţi ai filtrului. Acest lucru este ilustrat grafic de valoarea h[k] trecută în triunghiul aferent

Page 144: curs_PNS

134 Filtre Numerice

fiecărui eşantion. Adesea coeficienţii nu se mai desenează în acel „triunghi” ci sunt trecuţi alături de linia aferentă eşantionului.

• Valoarea curentă y[n] a ieşirii filtrului rezultă prin însumarea tuturor produselor, conform relaţiei de definiţie 6.3.

Te

+

h[0] h[1]

y [n]

x [n]Te Te

h [N-1] h[2]

x [n-1] x [n-2] x [n-(N-1]

Figura 6.11. Filtru nerecursiv.

Pentru implementarea unui algoritm de filtru nerecursiv, trebuie:

• Să existe spaţiu de memorie suficient şi să fie memorate cronologic, ultimele (N-1) eşantioane din secvenţa de intrare, inclusiv eşantionul curent;

• să fie cunoscuţi cei N coeficienţi ai filtrului; • să existe alocat un spaţiu de memorare pentru cei N coeficienţi ai filtrului; • să se efectueze cele N înmulţiri; • să se efectueze cele (N-1) adunări. b. Filtre recursive

La acest tip de filtre ieşirea y[n] depinde atât de valoarea ultimelor N eşantioane din secvenţa de intrare x[n] , cât şi de valoarea ultimelor M eşantioane din chiar secvenţa de ieşire. Acest tip de filtru este definit de algoritmul următor:

] j -[n yM

1j ] j [ b k] -[n x

1-N

0k [k]h [n]y ⋅

=−⋅

== ∑∑ (6.4)

Aşa cum se observă, atât din relaţia de definiţie, cât şi din figura 6.13 în care este ilustrat graful unui filtru recursiv, însumarea pentru termenii recursivi (cei ce implică secvenţa de ieşire), nu îl include şi pe y[n] , ci doar valori

Page 145: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 135

anterioare ale acestuia începând cu y[n-1], deoarece este evident că ieşirea nu poate depinde de ea însăşi, atâta vreme cât ea nu este determinată. Structura de bază a filtrului recursiv este cea din figura 6.12, numită forma transversală.

Te

+

h[0] h[1]

y [n]

x [n]Te Te

h [N-1] h[2]

x [n-1] x [n-2] x [n-(N-1]

- b [1] - b [2] -b [M]

Te Te Te y [n-2] y [n-1]y [n- M]

Figura 6.12. Filtru recursiv. Forma transversală. Există şi alte forme în care poate fi organizată structura unui filtru recursiv. Spre exemplu, reaşezând forma transversală din figura 6.12 ca în figura 6.13, şi observând că cele două blocuri marcate cu linie punctată sunt comutative, se obţine structura din figura 6.14.

Page 146: curs_PNS

136 Filtre Numerice

Te

+

b[1]

y [n] x [n]

Te

+

h[1]

h[0]

b[M] h[N-2]

h[N-1]

Te

TeTe

Figura 6.13. Filtru recursiv. Variantă a formei transversale.

Te

+

b[1]

y [n] x [n]

Te

+

h[1]

h[0]

b[M] h[N-2]

h[N-1]

Te

Te Te

Figura 6.14. Filtru recursiv. Variantă a formei transversale. În figura 6.14, celulele de întârziere învecinate au funcţii identice, de aceea se pot înlocui cu câte o singură celulă de întârziere. Rezultă astfel structura din figura 6.15 numită forma canonică paralel.

Page 147: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 137

Te

+

b[1]

y [n] x [n]

Te

+

h[1]

h[0]

b[M] h[N-2]

h[N-1]

Te

v [n]

Figura 6.15. Filtru recursiv. Forma canonică paralel.

Avantajul acestei forme faţă de forma transversală este acela că implementarea algoritmului necesită un număr mai mic de celule de întârziere. Forma canonică paralel obligă în schimb la folosirea unei variabile intermediare. Există şi alte structuri de filtre, dintre care unele vor fi amintite în capitolul dedicat proiectării filtrelor recursive. 6.2.2. Filtre cauzale / necauzale

La filtrele cauzale mărimea de ieşire depinde doar de valoarea prezentă şi de valorile trecute ale secvenţei x[n] , nu şi de valorile viitoare.

Exemple: y[n] = 0,8⋅x[n ] − 0,2⋅x[n-1] + 0,05⋅x[n-2] este cauzal; y[n] = 0,8⋅x[n +1] + 0,2⋅x[n] + 0,05⋅x[n-1] este necauzal, deoarece depinde de

un eşantion necunoscut încă: x[n +1]; y[n] = 0,8⋅x[n -1] + 0,2⋅y[n+1] ; este necauzal; depinde de y[n+1];

Filtrele necauzale nu pot fi implementate pe sisteme numerice on line. De aceea în cazul în care rezultă algoritmi ce conţin „eşantioane viitoare” precum: x[n+1], y[n+1] etc, atunci soluţia pentru implementarea lor o constituie transformarea lor în filtre cauzale prin întârzierea lor cu un număr suficient de impulsuri de tact (k), astfel încât x[n+k] să devină x[n].

6.2.3. Filtre stabile / instabile

Page 148: curs_PNS

138 Filtre Numerice

Filtrele stabile sunt cele care produc la ieşire valori finite, atunci când la intrare se aduc secvenţe de valori finite. Filtrele instabile sunt cele care produc la ieşire valori infinite, atunci când la intrare se aduc secvenţe de valori finite. Iată două exemple:

a. y[n] = x[n] + x[n -1] + x[n -2] ;

KTe 0 1 2 3 4 5 6 7 ...... ∞ x[n] 1 1 1 0 0 0 0 0 0 y[n] 1 2 3 2 1 0 0 0 ...... 0

Observaţie importantă: De fiecare dată când în calculul unui eşantion de ieşire intervin eşantioane al căror indice se află în afara şirului de date existent, acestea vor fi considerate nule. Spre exemplu, în calculul primului eşantion vom avea: y[0] = x[0] + x[ -1] + x[ -2]. Ori, aşa cum se vede în şirul de date, nu există decât eşantioane cu indice superior lui zero. Pentru a depăşi impasul, vom considera în continuare că: x[ -1] = x[ -2] = 0, ceea ce echivalează de fapt cu prelungirea la stânga a şirului de date x[ n] cu două valori nule. Din punct de vedere fizic, semnificaţia acestei atribuiri de valori nule este aceea că toate eşantioanele de intrare dinaintea momentului începerii experimentului, sunt considerate că există şi ca au valoare nulă. Din exemplul analizat se observă că ieşirea filtrului ia valori finite, adică filtrul este stabil. Toate filtrele nerecursive sunt stabile.

b. y[n] = x[n] + y[n -1] + y[n -2] ;

Considerând că x[n] ia o singură dată valoarea 1, şi că iniţial toate valorile secvenţei de ieşire sunt nule, rezultă:

KTe 0 1 2 3 4 5 6 7 ...... ∞ x[n] 1 0 0 0 0 0 0 0 0 y[n] 1 1 2 3 5 8 13 21 ...... ∞

Se observă că indiferent de valoarea lui x[n] > 0, ieşirea tinde către infinit, ceea ce face ca filtrul să fie instabil. Filtrele recursive pot fi instabile, în funcţie de valoarea coeficienţilor.

6.2.4. Filtre FIR / IIR. Criteriul avut în vedere de această clasificare este acela al timpului în care ieşirea unui filtru revine la zero, după aplicarea unui impuls unitar la intrare. Conform acestui criteriu, există două tipuri de filtre:

Page 149: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 139

• Filtre cu răspuns finit la impuls. În literatura de specialitate sunt desemnate cu acronimul FIR (Finit Impulse Response). Filtrele de tip FIR sunt acelea la care ieşirea revine la zero după o durată finită de timp de la aplicarea impulsului unitar.

• Filtre cu răspuns infinit la impuls sau filtre IIR (Infinit Impulse Response). Sunt filtrele la care ieşirea revine la zero după o durată infinită de timp de la aplicarea impulsului unitar. Iată două exemple:

a. y[n] = x[n ] + 2⋅x[n -1] + 3⋅x[n -2];

Se zice că x[n] este un impuls unitar atunci când ia o singură dată valoarea 1, şi anume în momentul iniţial, toate celelalte valori fiind nule. El este similar impulsului Dirac folosit în studiul semnalelor în timp continuu.

kTe 0 1 2 3 5 ...... ∞ x[n] 1 0 0 0 0 0 y[n] 1 2 3 0 0 ...... 0

Se observă că ieşirea filtrului revine la valoarea zero după un interval de timp egal cu lungimea filtrului ori perioada de eşantionare. Mai mult decât atât, aplicarea unui impuls unitar la intrarea filtrului FIR, va produce la ieşirea acestuia chiar valorile coeficienţilor filtrului. În concluzie, toate filtrele nerecursive sunt FIR.

b. y[n] = x[n ] + 0,1⋅y[n -1] ;

Considerând că x[n] este un impuls unitar şi că iniţial toate valorile secvenţei de ieşire sunt nule, rezultă:

kTe 0 1 2 3 5 ...... ∞ x[n] 1 0 0 0 0 0 y[n] 1 0,1 0,01 0,001 0,0001 ...... 0

Iată deci că la apariţia unui impuls unitar la intrarea filtrului, ieşirea sa revine la zero abia după un timp infinit. Din acest motiv filtrul din acest exemplu este un fitru cu „răspuns infinit la impuls”. Se poate afirma că, de regulă, filtrele recursive sunt IIR.

În afara tipurilor de filtre amintite există şi alte categorii, ca de exemplu:

Page 150: curs_PNS

140 Filtre Numerice

• Filtre cu fază liniară. Sunt acele filtre care produc un defazaj proporţional cu frecvenţa între sinusoida de ieşire şi cea de intrare. Toate filtrele nerecursive sunt filtre cu fază liniară.

• Filtre „notch”. Sunt filtrele al căror obiectiv este acela de a rejecta (înlătura) o singură frecvenţă şi de a afecta cât mai puţin restul frecvenţelor.

6.2.5. Ordinul filtrului În cazul cel mai general, cel al filtrelor recursive:

Se numeşte ordin al filtrului, numărul maxim al celulelor de memorare, dintre cele aflate la intrare sau cele aflate la ieşire.

Ex: y[n] =2 x[n] – x[n–1] + y[n–2]. ordinul 2 y[n] = x[n] –y[n–3]. ordinul 3 y[n] =2 x[n] – x[n–1] + x[n–2]+ y[n–1] ordinul 2

În cazul filtrelor nerecursive, ordinul filtrului reprezintă numărul maxim de celule de memorare folosite la intrarea filtrului.

Ex: y[n] =2 x[n] – x[n–1] ordinul 1 y[n] = x[n] – x[n–3] ordinul 3

6.3. Aplicaţii Problema 6.1. Ordinul unui filtru este egal cu numărul maxim al celulelor de întârziere, dintre cele aflate la intrarea filtrului sau la ieşirea sa. Precizaţi ordinul filtrelor următoare:

• y[n] =2 x[n] – x[n–1] + y[n–1]. • y[n] = x[n] – x[n–3] + y[n–3]. • y[n] =2 x[n] – x[n–1] + x[n–2]+ y[n–1] + y[n–2]. • y[n] =2 x[n] • Poate avea un filtru recursiv ordinul zero ?

Problema 6.2. Se dă filtrul: y[n] = x[n] + y[n–1]. Precizaţi dacă acest filtru este: • cauzal / necauzal; • recursiv / nerecursiv;

Page 151: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 141

• FIR / IIR.

Problema 6.3. Se dă filtrul: y[n] = x[n] – 2x[n–1] + x[n–2]. Secvenţa x[n] este cea din figura 6.16:

n

n

x[n]

y[n]

Figura 6.16. Semnale pentru problema 6.3.

• Să se determine şi să se deseneze secvenţa de ieşire y[n]; • Ce formă ar avea y[n] dacă x[n] ar proveni dintr-o sinusoidă? • Care ar fi defazajul dintre sinusoida de intrare şi cea de ieşire,

dacă x[n] = Asin (2π⋅n⋅F / Fe) unde F=50 Hz, Fe=1000 Hz ? • Ce formă ar avea secvenţa de ieşire dacă x[n]= δ[n] (impuls unitar)? • Evidenţiaţi eşantioanele de ieşire afectate de zero-padding.

Problema 6.4. Pentru un sistem liniar şi invariant în timp se cunoaşte răspunsul la impulsul unitar h[n]. Să se determine răspunsul sistemului dacă se cunoaşte secvenţa de intrare x[n] pentru exemplul din figura următoare:

Page 152: curs_PNS

142 Filtre Numerice

n

n

x[n]

h[n]

1

2

n

y[n]

1

Figura 6.17. Semnale pentru problema 6.4.

Problema 6.5. Să se arate printr-un exemplu că sistemul din problema anterioară este invariant în timp.

Problema 6.6. Un filtru numeric este descris de următorul algoritm: y[n] =0,5 x[n] – x[n–1] +0,5 x[n–2].

• Care este ordinul filtrului? • Cum se comportă acest filtru faţă de un semnal de frecvenţă nulă? • Poate fi acest filtru un FTJ? • Desenaţi schema bloc a filtrului în forma transversală.

Problema 6.7. Pentru filtrele următoare, determinaţi răspunsul la impuls unitar.

a. y[n] =2 x[n] – x[n–1] + x[n–2] b. y[n] =2 x[n] – x[n–1] + x[n–2]+ y[n–1]

Problema 6.8. Se dă filtrul: y[n] =2 x[n] – x[n–1] + x[n–2]+ y[n–1] a. Desenaţi structura filtrului în forma transversală. b. Desenaţi structura filtrului în forma canonică paralel. c. Calculaţi primele 10 eşantioane ale răspunsului unitar al filtrului

folosind forma transversală d. Calculaţi primele 10 eşantioane ale răspunsului unitar al filtrului

folosind forma canonică paralel. Comparaţi rezultatele.

Page 153: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 143

6.4. Bibliografie [6.1] Ifeachor, E.C., Jervis, B.W., “Digital Signal Processing – A Practical Approach”, Addison/Wesley, 1999

[6.2] *** http://www.dspguide.com/ch14.htm

[6.3] *** http://www.jhu.edu/~signals/dtftprops/indexDTFTprops.htm

[6.4] *** http://www.bores.com/courses/intro/freq/index.htm

[6.5] *** http://www.dsptutor.freeuk.com/intro.htm

Page 154: curs_PNS

7. PROIECTAREA FILTRELOR NERECURSIVE 7.1. Introducere Filtrul numeric este un algoritm care are o comportare spectrală selectivă, ca în exemplul din figura 7.1.

Filtru numeric |H(jω)| f

x[n] y[n]

Figura 7.1. Filtru numeric. Există mai multe metode de a realiza filtre numerice folosind algoritmi nerecursivi. Înainte de a le trece în revistă, merită amintită o abordare principială a ideii de filtrare numerică rezultată din transformarea Fourier Discretă.

w(n) n

x[n] TFD

TFDI

y[n]

Figura 7.2. Ferestruirea spectrului. Transformata Fourier a semnalului x[n] este un vector complex, conţinând amplitudinea şi faza componentelor spectrului. Pentru a realiza filtrarea, nu rămâne decât să se selecteze din spectrul rezultat frecvenţele dorite. Selectarea se poate face prin ferestruirea spectrului cu ajutorul unei funcţii

Page 155: curs_PNS

144 Filtre nerecursive

w[n] având forma caracteristicii de frecvenţă dorite. Aplicând apoi transformata Fourier Discretă Inversă se ajunge la valoarea filtrată a semnalului în domeniul timp.

7.2. Proiectarea filtrelor nerecursive cu ajutorul tranformării Fourier În continuare, conform cu cele arătate în capitolul 6.1, prin sintagma “filtru numeric” vom înţelege un algoritm liniar, ce trebuie să aibă o anumită comportare spectrală, de obicei impusă. Problema ce trebuie rezolvată în continuare este: să se determine numărul şi valoarea coeficienţilor algoritmului, atunci când este cunoscută (impusă) forma şi dimensiunile modulului caracteristicii de frecvenţă. Asupra fazei caracteristicii de frecvenţă nu se impun în acest caz condiţionări. 7.2.1. Filtru Trece Jos

Caracteristica unui “Filtru Trece Jos ideal” poate fi descrisă astfel: toate semnalele sinusoidale având frecvenţa cuprinse între 0 ÷ Ft trec fără a fi atenuate sau amplificate, iar cele având frecvenţa cuprinsă între 0 ÷ Fe/2 nu trec. Limitarea frecvenţelor la +Fe/2 se face datorită criteriului Nyquist. Acest lucru este ilustrat de forma caracteristicii din figura 7.3, unde linia îngroşată arată că mărimea caracteristicii în domeniul de frecvenţe pozitive este unitară în toată banda de trecere şi nulă în restul intervalului. Pentru a putea folosi instrumente matematice precum transformarea Fourier, domeniul de definiţie al frecvenţei trebuie extins şi în domeniul frecvenţelor negative. De aceea forma completă a caracteristicii de frecvenţă va include domeniul: −Fe/2 ÷ + Fe/2.

1

f

| H(jω)|

- Fe - Fe / 2 Ft Fe / 2 Fe - Ft

Figura 7.3. Caracteristica de frecvenţă ideală de tip Trece Jos.

Page 156: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 145

Cum determinăm numărul şi valorile coeficienţilor atunci când cunoaştem caracteristica de frecvenţă? Pentru aceasta este util de revăzut sinteza din figura 4.6. De acolo rezultă că atunci când se cunoaşte caracteristica de frecvenţă se pot determina coeficienţii filtrului prin aplicarea Transformatei Fourier Discrete Inverse. În cazul de faţă aplicarea relaţiei de definiţie a TFDI nu mai este posibilă, deoarece ea se referă la un număr finit de valori H[n], ori caracteristica filtrului trece jos propus este continuă, în intervalul de frecvenţă: –Fe/2 ÷ +Fe/2. Din acest motiv, însumarea din relaţia de definiţie a TFDI se transformă în integrală astfel:

df e |)H(jω| Fe1 h

Fe/2

Fe/2 -

Fef 2 n j

[n] ⋅⋅= ∫⋅⋅⋅ π

(7.1)

Dar |H(j)| = 1 în intervalul –Ft ÷ +Ft şi zero în rest. Rezultă:

df e Fe1 h

Ft

Ft -

Fef

2 n j [n] ⋅= ∫

⋅⋅⋅ π (7.2)

În matematică se cunoaşte valoarea integralei definite care intră în calculul coeficienţilor:

( ) ( ) Ft n sinc Ft 2 Ft n

Ft n sin Ft 2 df e Ft

Ft -

f n j ⋅⋅=⋅

⋅⋅=⋅∫ ⋅⋅ (7.3)

Funcţia sinc(x) definită în relaţia 7.3 se numeşte “sinus cardinal” în literatura franceză de specialitate sau “sinc function” în cea engleză. Este o funcţie cu multe utilizări în domeniul procesării numerice a semnalelor. Graficul ei este cel din figura următoare:

1

x

-2π -π π 2π 3π

=

≠=

0 pentru x 1

0 pentru x x(x)sin

)x( csin

Figura 7.4. Graficul funcţiei sinus cardinal.

Page 157: curs_PNS

146 Filtre nerecursive

Valorile coeficienţilor vor fi în acest caz următoarele:

FeFt π2n sinc

FeFt 2

FeFt π2n

FeFt 2πn sin

FeFt 2 h[n]

⋅⋅⋅⋅=

⋅⋅

⋅⋅

⋅⋅= (7.4)

Observaţii:

• Relaţia 7.4 arată că cei N coeficienţi h[n] rezultă prin eşantionarea funcţiei sinc(x), unde x ia N valori discrete. N se numeşte ordin al filtrului.

• Eşantionarea funcţiei sinc(x) se face astfel încât jumătate din cei N coeficienţi h[n] vor fi la stânga originii, iar cealaltă jumătate la dreapta originii. Astfel, dacă N este impar avem:

FeFt π2n x ⋅⋅= , pentru n = -(N-1)/2, …0, … (N-1)/2 (7.5)

• Vor rezulta două situaţii diferite în funcţie de felul par sau impar al lui N. Cele două situaţii sunt ilustrate în figurile 7.5 şi 7.6.

-10 -9 -8 -7 --3 -2 -1 0 1 2 3 7 8 9 10

-6 -5 -4 - 4 5 6 n

Figura 7.5. Eşantionarea funcţiei sinc(x) pentru N impar (N=21)

-9,5 -8,5 -7,5 -6,5 -2,5 -1,5 -0,5 0,5 1,5 2,5 6,5 7,5 8,5 9,5

-5,5 -4,5 -3,5 - 3,5 4,5 5,5 n

Figura 7.6. Eşantionarea funcţiei sinc(x) pentru N par (N=20)

Page 158: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 147

Rezultă:

N = impar: h[- (N-1)/2], .…. h[-1], h[0], h[1], …. h[(N-1)/2] (7.6)

N = par: h[- (N/2) +1/2], … h[-1/2], h[1/2], … h[(N/2) - 1/2] (7.7)

• Dacă în algoritmul de filtrare s-ar folosi setul de coeficienţi de mai sus, ar rezulta calcule cu indici mai mari decât eşantionul curent, adică eşantioane “din viitor”. Filtrele ar deveni necauzale, iar astfel de filtre nu pot fi implementate on line. Din acest motiv toate valorile coeficienţilor se “translatează”, astfel încât indicele primului eşantion, cel din stânga şirului, să devină zero. Această translaţie reprezintă o întârziere în domeniul timp egală N/2 * Te. Obiectivele filtrării nu vor fi afectate în nici un fel, singura consecinţă a acestei translaţii fiind întârzierea răspunsului. În acest fel, indiferent dacă N a fost par sau impar, va rezulta şirul de N valori:

N = par sau impar: h[0], h[1], … h[N - 1] (7.8)

• Coeficienţii astfel rezultaţi sunt cei care vor fi folosiţi pentru filtrarea semnalului x[n] cu relaţia binecunoscută de acum:

x h y1N

0kk] -[n [k][n] ∑

=

⋅= (7.9)

• Câţi coeficienţi h[n] se construiesc, respectiv ce valoare trebuie să aibă N? Pentru a afla răspunsul la această întrebare este util să fie comparate filtrele de ordin diferit din figura 7.7. Ambele filtre au aceeaşi frecvenţă de tăiere şi aceeaşi frecvenţă de eşantionare. Se observă că pentru N mai mare, caracteristica este mai aproape de forma ideală impusă iniţial.

• Forma exactă a caracteristicii de frecvenţă a filtrului definit de cei N coeficienţi se determină cu relaţia 4.21.

Ft f

|H(jω)|

N =10

Ft f

|H(jω)|

N =30

Figura 7.7. Filtre trece jos de ordin diferit

Page 159: curs_PNS

148 Filtre nerecursive

• Deci, cu cât N este mai mare, cu atât mai mult caracteristica de frecvenţă rezultată va fi mai apropiată de caracteristica ideală propusă. Teoretic, doar pentru un număr infinit de coeficienţi se poate obţine o caracteristică de filtru trece jos ideală. În concluzie ordinul filtrului se alege cât mai mare posibil, singura constrângere fiind cea legată de timpul de calcul.

7.2.2. Filtru Trece Sus

Coeficienţii acestui tip de filtru se determină în mod similar cu ai celui precedent, plecându-se de la modulul caracteristicii sale de frecvenţă.

1

f

| H(jω)|

- Fe - Fe / 2 Ft Fe / 2 Fe- Ft

Figura 7.8. Caracteristica de frecvenţă ideală de tip trece sus. Ţinând cont de forma caracteristicii, se ajunge la:

df e Fe1df e

Fe1 h

Fe/2

Ft

Fef

2 n jFt-

Fe/2 -

Fef

2 n j [n] ⋅+⋅= ∫∫

⋅⋅⋅⋅⋅⋅ ππ (7.10)

Rezultă:

FeFt π2n sinc

FeFt 2 n)πsinc( h[n]

⋅⋅⋅⋅−⋅= (7.11)

pentru N impar: n = -(N-1)/2, …0, … (N-1)/2

7.2.3. Filtru Trece Bandă

Modulul caracteristicii de frecvenţă al acestui filtru este cel din figura 7.10. După calcule similare cu cele precedente, se ajunge la:

Page 160: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 149

FeFt π2n sinc

FeFt 2

FeFt π2n sinc

FeFt 2 h 1122

[n]

⋅⋅−

⋅⋅= (7.12)

pentru N impar: n = -(N-1)/2, …0, … (N-1)/2

1

f

| H(jω)|

- Fe - Fe/2 Ft1 Fe/2 Fe-Ft1 Ft2-Ft2

Figura 7.9. Caracteristica de frecvenţă ideală de tip trece bandă. 7.2.4. Filtru opreşte bandă

Modulul caracteristicii de frecvenţă al acestui filtru este cel din figura 7.11.

1

f

| H(jω)|

- Fe - Fe/2 Ft1 Fe/2 Fe-Ft1 Ft2-Ft2

Figura 7.10. Caracteristica de frecvenţă ideală de tip opreşte bandă. După calcule similare cu cele precedente, se ajunge la:

FeFt π2n sinc

FeFt 2

FeFt π2n sinc

FeFt 2 )nπ(csin2 h 1122

[n]

⋅⋅+

⋅⋅−= (7.13)

pentru N impar: n = - (N-1)/2, …0, … (N-1)/2

Ca şi în cazul filtrului trece jos, calculul coeficienţilor rezultaţi din relaţiile 7.11, 7.12, 7.13, va fi adaptat corespunzător dacă N este par. De asemenea

Page 161: curs_PNS

150 Filtre nerecursive

coeficienţii h[n] trebuie translataţi pentru a avea doar indici pozitivi şi în consecinţă filtre cauzale. Toate cele patru tipuri de filtre proiectate mai sus au caracteristici de fază liniare, adică defazajul dintre sinusoida de la ieşirea filtrului şi cea de la intrare depinde proporţional de frecvenţa lor. 7.3. Proiectarea Filtrelor Nerecursive prin metoda Fourier discretă În metoda de proiectare anterioară am plecat de la a impune o caracteristică de frecvenţă de tip continuu. Altfel spus, s-a impus fiecărei frecvenţe din domeniul de definiţie o anume valoare a modulului caracteristicii, aşa cum rezultă evident din figurile care au prezentat filtrele Trece Sus, Trece Jos, Trece Bandă şi Opreşte Bandă. Cu metoda de faţă, vom impune valori dorite ale caracteristicii de frecvenţă doar anumitor frecvenţe din domeniul de definiţie. De aceea metoda mai poartă denumirea de eşantionare a domeniului frecvenţă. Pentru aceasta, se vor alege N puncte din intervalul –Fe/2 ÷ +Fe/2, puncte în dreptul cărora vom impune valori dorite ale modulului caracteristicii de frecvenţă. În figura 7.11 este prezentat intervalul de frecvenţă menţionat, raportat la cele N eşantioane.

f

- int(N / 2)

- Fe / 2 Fe / 2

int(N / 2)

N valori

Figura 7.11. Cele N valori ale frecvenţelor în intervalul: –Fe/2 ÷ Fe/2.

Unde se plasează cele N puncte în interiorul intervalului precizat? Pentru aceasta trebuie ţinut de următoarele afirmaţii: • Punctele se plasează echidistant; • Între puncte se vor găsi intervale egale de mărime Fe/N ; • Nici un punct nu se va plasa în extremităţile domeniului, respectiv în

punctele –Fe/2 şi + Fe/2;

Page 162: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 151

În funcţie de faptul că n este par sau impar, mijlocului domeniului (f=0), îi va corespunde sau nu o valoare a lui n, aşa cum este ilustrat în figurile următoare, pentru cazurile N=9 şi N=10.

f

- Fe / 2 Fe / 2

9 intervale situate simetric

Fe / 9½ (Fe/9)½ (Fe/9)

-4 -3 -2 -1 0 1 2 3 4

Figura 7.12. Situarea intervalelor de frecvenţă pentru N=9.

f

- Fe / 2 Fe / 2

10 intervale situate simetric

Fe / 10

½ (Fe/10)½ (Fe/10)

-4,5 -3,5 -2,5 -1,5 -0,5 0,5 1,5 2,5 3,5 4,5

Figura 7.13. Situarea intervalelor de frecvenţă pentru N=10. Următoarea etapă este aceea a proiectării propriu-zise a caracteristicii filtrului. Pentru aceasta se pot atribui acele valori care vor duce la o formă dorită a caracteristicii de frecvenţă, aşa cum este arătat în figura 7.14 pentru N impar şi în figura 7.15 pentru N par.

f

- Fe / 2 Fe / 2

-4 -3 -2 -1 0 1 2 3 4

Figura 7.14. Setarea valorilor |H(j k ωo)| pentru N=9.

Page 163: curs_PNS

152 Filtre nerecursive

Pentru construcţia caracteristicii se va folosi doar intervalul frecvenţelor pozitive şi anume: 0 ÷ Fe/2. Intervalul frecvenţelor negative se construieşte apoi prin simetrie. În acest fel se generează următorul vector de valori:

H[-(N-1)/2], H[-(N-3)/2], …, H[-1], H[ 0 ], H[ 1 ], ……, H[(N-3)/2], H[(N-1)/2]

f

- Fe / 2 Fe / 2

-4,5 -3,5 -2,5 -1,5 -0,5 0,5 1,5 2,5 3,5 4,5

Figura 7.15. Setarea valorilor |H(j k ωo)| pentru N = 10.

Determinarea valorilor coeficienţilor h[n] se face prin aplicarea TFDI.

( ) ]k [HTFDI Re [n]h = Rezultă:

1)/2-(N

1)/2--(NkN

nk2πcos ] i [H N1 [n]h ∑

=

⋅⋅

⋅= (7.14)

pentru N impar: n = - (N-1)/2, …0, … (N-1)/2

Observaţii: • Implementarea tuturor relaţiilor de calcul a coeficienţilor filtrelor

prezentate se face diferenţiat pentru N par şi N impar, din motivele prezentate pe larg anterior.

• Relaţia 7.14 şi altele în care apar indici de forma (N-1)/2, se referă la cazul N impar. Pentru N par, relaţiile se adaptează corespunzător astfel încât să se ajungă la situaţia din figura 7.15.

• Avantajul acestei metode în raport cu celelalte constă în aceea că ea permite să se definească apriori puncte ale caracteristicii de frecvenţă în care va exista o coincidenţă a răspunsului teoretic cu răspunsul real. Altfel spus, dacă se construieşte acum caracteristica de frecvenţă a filtrului dat de coeficienţii din relaţia 7.14, vom constata că în dreptul frecvenţelor va exista o coincidenţă perfectă a valorii stabilite cu cea a caracteristicii.

Page 164: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 153

7.4. Sinteza modului de proiectarea a filtrelor nerecursive

Se construieşte forma şi dimensiunile caracteristicii de frecvenţă dorite

Se optează pentru unul din tipurile: FTJ, FTS, FTB sau FTS, precizând:• frecvenţa de eşantionare Fe• ordinul filtrului N• Ft, Ft1, Ft2 după caz

Se calculează coeficienţii h[n]

Se construieşte caracteristica“prin puncte” precizând:

• frecvenţa de eşantionare Fe• ordinul filtrului N• valorile lui |H(jω)| în N/2

puncte din intervalul [0 Fe/2]

Se folosesc relaţiile7.4, 7.11, 7.12 sau 7.13 după caz,tratând separat situaţiile în care N

este par sau impar

Se foloseşte relaţia 7.14, tratând separat situaţiile în care N

este par sau impar

Se calculează caracteristica |H[n]| cu relaţia 4.21, şi se compară cu cea propusă.Dacă rezultatul este nemulţumitor, se reia construcţia caracteristicii

folosind alţi parametrii

Se folosesc cei N coeficienţii h[k] pentru a filtra semnale x[n] dorite:

x h y1N

0kk] -[n [k][n] ∑

=

⋅=

MetodaTransformata Fourier

MetodaT. Fourier Discretă

Figura 7.16. Sinteză: proiectarea, verificarea şi utilizarea filtrelor. Desigur că alături de cele două metode propuse aici pentru a construi caracteristici de frecvenţă şi de a determina apoi setul de N coeficienţi ai filtrului, există şi alte metode, ca de exemplu cele oferite de mediul Matlab.

Page 165: curs_PNS

154 Filtre nerecursive

7.4. Proiectarea filtrelor nerecursive în MATLAB Mediul de programare Matlab permite proiectarea facilă a filtrelor numerice. Există două “capitole” în Matlab în care se pot proiecta filtre numerice: “Signal Processing Toolbox” şi “Filter Design Toolbox”. În primul din cele două există şi instrumente pentru analiza spectrală a semnalelor, iar cel de-al doilea este dedicat în exclusivitate proiectării filtrelor performante. Proiectarea filtrelor recursive şi a celor nerecursive are un element comun de abordare: ambele, se proiectează prin “construirea caracteristici de frecvenţă” ce se doreşte a fi avută de filtrul proiectat. Este bine să avem o imagine sintetică a ceea ce se poate realiza în Matlab, legat de proiectarea filtrelor nerecursive. Acest lucru este ilustrat în schema de principiu din figura următoare:

Impunerea unei caracteristici de frecvenţă dorite

Exemplu de funcţii folosite: fir1, gremez, etc.

Se introduc: N numărul de coeficienţi doriţi (ordinul filtrului) perechi (fk, Ak), pentru fiecare funcţie.

Rezultă un vector “h” conţinând cei N coeficienţi ai filtrului, consecinţă acondiţiilor impuse caracteristicii de frecvenţă şi a funcţiei de calcul alese.

Pe baza vectorului h, se calculeazăcaracteristica de frecvenţă reală, cu

ajutorul funcţiei freqzDe regulă ea diferă de caracteristicadorită. Ele pot fi vizualizate cu plot

Se poate realiza filtrarea efectivă aoricărui semnal şi se studiază efectulfiltrului în domeniul timp. folosind

funcţia filter

Figura 7.17. Proiectarea, verificarea şi utilizarea filtrelor în Matlab.

Page 166: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 155

În “Signal Processing Toolbox” există definite următoarele funcţii care permit proiectarea de filtre numerice nerecursive: cremez, fir1, fir2, fircls, firls, firrcos, intfilt, kaiserord, remez, remezord, sgolay. Aceste funcţii sunt diferite între ele prin aparatul matematic şi prin performanţe specifice. Din punct de vedere al utilizatorului, toate aceste funcţii trebuie privite într-o primă abordare ca şi modalităţi diferite în care poate fi descrisă, forma dorită a graficului caracteristicii de frecvenţă. De regulă, graficul caracteristicii de frecvenţă este “desenat” prin segmente de dreaptă. Prin parametrii acestor funcţii sunt specificate coordonatele capetelor acestor segmente. În Matlab formatul datelor este matricial. De aceea toate mărimile care intră ca parametrii în sintaxa unei funcţii vor trebuie privite ca atare. Sintaxa unei funcţii de proiectare a unui filtru numeric este de forma următoare: b = func(N, f, A); (7.15) Semnificaţia parametrilor funcţiei este următoarea: • N – Reprezintă ordinul filtrului ce urmează a fi realizat • f – Vector unidimensional conţinând puncte din intervalul de

“frecvenţă normalizată” [0,1]. Cu ajutorul lui se definesc valorile frecvenţelor în dreptul cărora graficul caracteristicii suferă schimbări. Se cunoaşte că domeniul de frecvenţă ce trebuie luat în considerare la realizarea unui filtru este [0, Fe/2] pentru a ne încadra în condiţiile impuse de teorema eşantionării.

În Matlab se foloseşte ca domeniu de frecvenţă intervalul [0, 1]. Această convenţie permite ca pe parcursul proiectării, să nu mai fie nevoie de cunoaşterea frecvenţei de eşantionare (Fe). Cu regula de trei simplă, se poate determina uşor ce punct din intervalul [0,1] îi corespunde o anume frecvenţă din intervalul [0, Fe/2].

• A – Vector care conţine amplitudinile caracteristicii de frecvenţă

în punctele specificate prin vectorul f. În concluzie, graficul caracteristicii dorite este descris de cei doi vectori f şi A. Modul exact în care este construit graficul este prezentat în documentaţie pentru fiecare funcţie în parte.

Funcţia returnează un vector unidimensional “b” conţinând cei N+1 coeficienţi ai filtrului.

Page 167: curs_PNS

156 Filtre nerecursive

7.5 Aplicaţii Problema 7.1. Folosind relaţia 4.21, să se construiască caracteristica de frecvenţă, pentru filtrul definit de coeficienţii:

h[n] = [ 1; 1; 1; 1; 1; 1; 1; 1;]

Să se compare rezultatul cu cel obţinut folosind funcţia freqz() din Matlab. Problema 7.2. Un filtru numeric nerecursiv, are următorii coeficienţi:

• h[n]= 1; h[n−1]= −0,5; h[n−2]= −0,02; • Care este răspunsul la impuls unitar al filtrului? • Secvenţa de intrare este h[n]= 10 sin(2π⋅n⋅10/1000); • Să se stabilească amplitudinea “sinusoidei” de la ieşire; • Să se stabilească faza “sinusoidei” de la ieşire;

Problema 7.3. Următoare filtre nerecursive sunt definite astfel:

a. y[n] = x[n] – 2 x[n–1] + x[n–2] b. y[n] =2 x[n] + x[n–2] c. y[n] = – 0,5 x[n–1] + x[n–2] d. y[n] =2 x[n] – x[n–1] + x[n–2]+ y[n–1]

Pentru fiecare din aceste filtre: • precizaţi dacă ele permit “trecerea componentei continue” a unui semnal

de intrare; • determinaţi răspunsul la impuls unitar; Problema 7.4. Fie un filtru numeric descris de algoritmul: y[n] = x[n] + x[n-1]. Să considerăm că x[n] provine din eşantionarea cu Fe = 1000Hz, a unei sinusoide având A= 10, F = 40 Hz şi faza iniţială nulă. Să se determine direct, prin calcule trigonometrice amplitudinea şi faza sinusoidei de la ieşirea filtrului.

Solutie: Un prim mod de abordare ar fi acela de a considera sinusoida de intrare reală eşantionată x[n] = A cos(2⋅π⋅F⋅n / Fe) = A⋅ cos(Ω⋅n). Mai simplu este să considerăm că la intrare se aplică o sinusoidă complexă, x[n] = A e jΩ⋅n. În acest caz:

y[n] = A e jΩ⋅n + A e jΩ⋅(n-1) = A e jΩ⋅n + A e jΩ⋅n e jΩ⋅= A e jΩ⋅n (e j0+e jΩ⋅)

Rezultatul însumării celor două numere complexe se obţine uşor dacă analizăm reprezentarea grafică a sumei:

Page 168: curs_PNS

Ioan P. MIHU - Procesarea Numerică a Semnalelor 157

Re

Im

1

e j Ω

e j 0

ϕ

A’ e j ϕ

Figura 7.18. Însumarea numerelor complexe.

Rezultă: A’ = )Fe/F2cos()Fe/F2sin(22)(2sin2))cos(1( ⋅π⋅⋅⋅π⋅⋅+=Ω+Ω+ = 1,5754

)cos(1)sin(arctgΩ+

Ω=ϕ = 0,1257 rad.

Deci: y[n] = A e jΩ⋅n (e j0+e jΩ⋅) = A e jΩ⋅n ⋅ A’ e j ϕ⋅ = A ⋅ A’ ⋅ e j (Ω⋅n +ϕ)

y[n] = 15,754 e j (Ω⋅n + 0,1257)

Sinusoida reală rezultată la ieşirea sistemului va fi: Rey[n] = 15,754 cos (Ω⋅n + 0,1257) = 15,754 cos (2⋅π⋅F/Fe ⋅n + 0,1257)

În concluzie, armonica rezultată la ieşirea filtrului va avea amplitudinea 15,754, şi un defazaj ϕ=0,1257 rad faţă de armonica de intrare. Problema 7.5. Să se proiecteze un filtru numeric nerecursiv, prin metoda Fourier, a cărui caracteristică de frecvenţă să fie definită astfel:

• FTJ; • Fe = 1000 Hz; Ft = 50 Hz, N = 20.

Problema 7.6. Să se proiecteze un filtru numeric nerecursiv, prin metoda Fourier, a cărui caracteristică de frecvenţă să fie definită astfel:

• FTS; • Fe = 1000 Hz, Ft = 50 Hz, N = 20.

Problema 7.7. Determinaţi ordinul şi valoarea coeficienţilor unui filtru trece jos nerecursiv, având frecvenţa de tăiere ±Fe/4 iar amplitudinea răspunsului în banda de trecere să nu scadă cu mai mult de 2 dB.

Page 169: curs_PNS

158 Filtre nerecursive

Problema 7.8. Concepeţi un sistem care să sesizeze apariţia unor vibraţii a căror energie să fie mai mare decât un prag prestabilit. Sistemul trebuie să conţină elementele specificate în schema bloc următoare.

Senzor FTJ CANSistem de Calcul

Hardware/SoftwareCNA

a. Comentaţi rolul fiecărui bloc din schemă. b. Alegeţi o frecvenţă de eşantionare convenabilă, în funcţie de semnalul

recepţionat de senzor. c. Descrieţi o modalitate prin care sistemul de calcul să preia semnalul

numeric provenit de la CAN. d. Descrieţi structura programului care rezolvă cerinţele impuse, respectiv

aprinde becul, atunci când energia vibraţiilor depăşeşte o limită prestabilită.

e. Estimaţi timpul care trece între apariţia fenomenului monitorizat şi “aprinderea becului”.

7.6. Bibliografie.

[7.1] Antoniou, A., “Digital Filters: Analysis, Design, and Applications”, McGraw-Hill, Inc. 1993.

[7.2] Porat, B, “A Course in Digital Signal Processing”, John Wiley and Sons, 1997

[7.3] Ifeachor, E.C., Jervis, B.W., “Digital Signal Processing – A Practical Approach”, Addison/Wesley, 1999

[7.4] *** http://www.math.utah.edu/lab/ms/matlab/matlab.html [7.5] *** http://www.bores.com/courses/intro/filters/index.htm [7.6] *** http://www.dspguide.com/ch19.htm [7.7] *** http://www.dsptutor.freeuk.com/digfilt.pdf

Page 170: curs_PNS

8. PROIECTAREA FILTRELOR RECURSIVE 8.1. Transformarea Laplace Deşi ţinta demersurilor noastre este evident domeniul semnalelor în timp discret, vom începe prin a face o mică incursiune în domeniul semnalelor analogice, etapă absolut obligatorie pe drumul care duce la proiectarea filtrelor numerice recursive. În acest sens, vom alege un exemplu simplu de sistem liniar, cel din figura 8.1, în care tensiunea de intrare este o tensiune continuă în timp continuu. Ne propunem să analizăm care este răspunsul sistemului. Prin sintagma „care este răspunsul sistemului” se înţelege: „care este forma tensiunii de ieşire atunci când se cunosc forma tensiunii de intrare şi structura sistemului”. Este cunoscut faptul că în cazul sistemelor liniare, dacă forma tensiunii de intrare este una sinusoidală, atunci şi forma tensiunii de ieşire este tot sinusoidală. Forma sinusoidală este singura din natură care se propagă prin sisteme liniare fără a fi deformată. Deci tensiunea de ieşire va fi tot sinusoidală, de aceeaşi frecvenţă ca şi a tensiunii de intrare, dar de amplitudine diferită şi desigur de fază diferită faţă de tensiunea de intrare. Din capul locului, aflarea răspunsului sistemului trebuie să aibă în vedere două situaţii distincte şi anume:

a. situaţia în care semnalul de intrare este sinusoidal, b. situaţia în care semnalul de intrare este nesinusoidal.

u1 (t)

R

u2 (t)C

i

i

Figura 8.1. Circuit analogic= sistem cu mărimi continue, în timp continuu.

Page 171: curs_PNS

160 Filtre recursive

Problema este pe deplin şi uşor rezolvabilă pentru situaţia în care semnalul de intrare este sinusoidal. Spre exemplu, metoda fazorială permite determinarea amplitudinii şi a fazei tensiunii sinusoidale de ieşire. În situaţia în care tensiunea de intrare nu mai este sinusoidală, rezolvarea problemei este mai complicată. În cazul sistemului din figura 8.1, dependenţa dintre tensiunea de ieşire şi cea de intrare este dată de o ecuaţie diferenţială, rezultată în urma aplicării teoremelor Kirchhoff. Ecuaţia diferenţială va rezulta datorită relaţiei care există între tensiunea la bornele condensatorului şi curentul prin condensator. Situaţia este similară şi în alte sisteme, ca spre exemplu într-un sistem mecanic unde forţa este proporţională cu derivata vitezei.

⋅=

+⋅=

dtu d

C i

uRiu 2(t)

(t)

2(t)(t)1(t) (8.1)

rezultă: 2(t)2(t)

1(t) udt

duRCu += (8.2)

Relaţia 8.2 este o ecuaţie diferenţială din a cărei rezolvare va rezulta tensiunea u2(t), adică modul în care variază tensiunea de ieşire în timp, atunci când se cunosc valorile R şi C (adică se cunoaşte sistemul), şi modul în care depinde tensiunea u1(t) de timp.

t=0

Uu1(t)

u2(t)

t

t

U

Figura 8.2. Forma de variaţie a tensiunilor de intrare şi ieşire pentru exemplul ales.

Page 172: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 161

Spre exemplu, dacă tensiunea de intrare este o tensiune „treaptă”, definită în felul următor,

≤>

=0 pt t 0 0pt t U

1(t)u (8.3)

atunci soluţia ecuaţiei 8.2 este:

u2(t) = U ( RC

t

e-1−

) (8.4)

Forma de variaţie a tensiunilor de intrare şi de ieşire, legate între ele de ecuaţia diferenţială 8.2, este în acest caz cea din figura 8.2.

Răspunsul sistemului este uşor de determinat şi dacă tensiunea de intrare este sinusoidală. Nu trebuie să rămânem însă cu impresia că sistemul de ecuaţii diferenţiale rezolvă doar situaţia în care tensiunea de intrare are forma de treaptă sau forma sinusoidală. Dacă tensiunea de intrare nu are forma „simplă” de treaptă sau de sinus, atunci expresia analitică a tensiunii de ieşire este dificil de determinat, mai ales dacă circuitul ar fi mai complex decât cel prezentat. Pentru depăşirea dificultăţilor de rezolvare a unor ecuaţii diferenţiale, tot matematica pune la dispoziţie un instrument ce face mult mai simplă determinarea formei tensiunii de ieşire. Acesta este transformarea Laplace. Matematic, ea se defineşte astfel:

X(s) = Lx(t) = ∫+∞

−∞=

⋅−⋅t

ts dtex(t) (8.5)

Proprietăţi şi observaţii legate de transformata Laplace:

• Această transformare este biunivocă, adică unei funcţii îi corespunde o singură valoare a transformatei şi reciproc unei valori a transformatei îi corespunde o singură valoare a funcţiei. Atunci când se cunoaşte X(s), valoarea funcţiei x(t) se determină aplicând „transformarea Laplace inversă” L-1:

x(t) = L-1 X(s) = ∫+∞

−∞=

⋅⋅t

ts dseX(s) (8.6)

• Nu trebuie să ne sperie calculul propriu-zis al transformatei sau al transformatei inverse fiindcă în literatura de specialitate există tabele în care se dau expresiile transformatelor pentru multe funcţii de timp.

• Transformarea schimbă domeniul de definiţie. Dacă domeniul iniţial era timpul, acum avem o nouă variabilă şi anume „s”, care nu este foarte uşor a fi sesizată de sistemul percepţiilor umane aşa cum este timpul.

Page 173: curs_PNS

162 Filtre recursive

• Variabila s se defineşte ca mărime complexă astfel:

s = σ + jω (8.7)

Semnificaţia mărimilor ce intervin în relaţia de definiţie a variabilei „s” se poate intui uşor datorită faptului că variabila intervine ca exponent al lui e, şi aplicând formula Euler, avem:

e s⋅t = e (σ + jω)⋅t = e σ⋅t⋅ ⋅ e jω⋅t = e σ⋅t⋅ ⋅ [cos(ω⋅t) − j⋅sin(ω⋅t)] (8.8)

Aplicând deci transformarea Laplace unei funcţii x(t), nu facem altceva decât să integrăm rezultatul înmulţirii acelei funcţii cu o funcţie armonică (sinusoidală) de frecvenţă ω şi a cărei amplitudine scade sau creşte exponenţial cu timpul în funcţie de valoarea σ. Pentru σ = 0, amplitudinea sinusoidei este constantă deoarece e0 = 1.

• Se obişnuieşte ca variabila „s” să fie reprezintată într-un plan complex, astfel:

σ > 0σ < 0 σ = 0

σ

Figura 8.3. Planul complex al variabilei s.

Referitor la planul variabilei s, este utilă asocierea valorilor transformatei X(s) la acest plan şi anume într-o imagine tridimensională, se observă că X(s) este definită şi ia valori în fiecare punct al acestui plan, deci ar reprezenta o suprafaţă în spaţiu, un „acoperiş” al acestui plan.

• Aşa cum se vede în figura 8.3, planul poate fi privit ca având trei regiuni, în funcţie de valoarea variabilei σ. Dintre toate punctele acestui plan o importanţă aparte pentru noi va avea zona axei imaginare (σ = 0),

Page 174: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 163

pentru care variabila s devine s = e jω⋅, adică. De remarcat că pentru acest caz particular, transformarea Laplace devine transformarea Fourier,

X(s) = X(jω) = F[x(t)] = ∫+∞

−∞=

⋅⋅−⋅t

tj dtex(t) ω (8.9)

σ

σ = 0

ω = 0

Figura 8.4. Relaţia dintre planul s şi transformarea Fourier. • Din punct de vedere al relaţiei transformatei Fourier cu planul s, aceasta

este rezultatul intersecţiei suprafeţei H(s) cu planul σ = 0 şi este chiar caracteristica de frecvenţă a sistemului, aşa cum se vede din figura 8.4.

• Prin aplicarea transformării Laplace funcţiei de transfer a unui sistem, relaţiile de tip diferenţial sau integral se schimbă în simple multiplicări sau împărţiri.

Dacă: Lx(t)=X(s) ⇒ L dt x(t)d = s⋅X(s) (8.10)

L ∫ ⋅= X(s)s1 dt x(t) (8.11)

Această ultimă observaţie este de fapt şi unul din răspunsurile la întrebarea „la ce este utilă această transformare?” Transformarea Laplace este un instrument matematic extrem de util care ne permite calcularea uşoară a răspunsului unui sistem la semnale, indiferent de forma de variaţie în timp a acestora. Uşurinţa este dată de faptul că un sistem de ecuaţii diferenţiale se transformă într-unul de simple ecuaţii liniare.

• Transformarea se aplică atât funcţiilor (mărimilor) de intrare şi de ieşire, cât şi funcţiei de transfer a sistemului. Acest fapt este extrem de

Page 175: curs_PNS

164 Filtre recursive

important, iar figura următoare evidenţiază felul în care se abordează problema determinării răspunsului unui sistem la o mărime de intrare dată:

- Se calculează transformata mărimii de intrare şi a funcţiei de transfer a sistemului.

- Se face produsul acestora după care se obţine transformata mărimii de ieşire.

- Aplicând transformarea inversă se va obţine răspunsul sistemului în domeniul timp, începând de la momentul iniţial t=0.

h(t)x(t) y(t)

X(s)Y(s)

L

L -1

L

L -1

L

L -1

x(t) y(t)

H(s)

h(t)

Figura 8.5. Transformarea Laplace directă şi inversă aplicată sistemului şi mărimilor de intrare / ieşire.

După toate aceste precizări iată în concluzie cum ar fi arătat rezolvarea sistemului din figura 8.1, cu ajutorul transformării Laplace:

a. Se determină transformata Laplace a funcţiei de transfer. Determinarea ei se face simplu, folosind teoremele Kirchhoff pentru circuitul ales, considerând un regim armonic, iar impedanţa condensatorului 1/jωC:

Page 176: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 165

112 UCωRj1

1 U

Cωj1R

Cωj1

U ⋅+

=⋅+

= (8.12)

substituind jω =s, şi generalizând se obţine funcţia de transfer,

sRC1

1 X(s)Y(s) H(s)

⋅+== (8.13)

b. Se determină din tabele transformata Laplace a funcţiei de intrare alese ca exemplu:

sU (s)U1 = (8.14)

c. Din 8.13 se determină mărimea de ieşire „în s”:

RC/1s

UsU

s)RCs(1U Y(s)

+−=

⋅+= (8.15)

d. Se determină mărimea de ieşire „în t”, utilizând transformarea inversă pentru Y(s). Aceasta se poate face uşor utilizând tabelele din literatura de specialitate.

y(t) =

=

−RC

t

2(t) e-1 U u (8.16)

Toate cele prezentate în acest paragraf au avut doar menirea de a prezenta în sinteză rolul folosirii unei transformări în rezolvarea unui sistem în timp continuu. Pentru că suntem în cadrul capitolului „Filtre numerice”, obiectivul principal al acestei prezentări trebuie să răspundă în final la următoarele întrebări:

• Cum se comportă sistemul căruia i se cunoaşte funcţia de transfer „în s”, atunci când la intrarea sa se aduc semnale sinusoidale de frecvenţe diferite?

• Cum se comportă sistemul căruia i se cunoaşte funcţia de transfer „în s”, atunci când la intrarea sa se aduce un semnal având în componenţă mai multe frecvenţe?

• Având dată funcţia de transfer „în s” a unui sistem, are aceasta proprietăţi selective în domeniul frecvenţă?

• Dacă da, putem identifica caracterul de filtru al acelui sistem? • Reciproc, putem impune (proiecta) o funcţie de transfer „în s” pornind

de la condiţionări asupra formei caracteristicii de frecvenţă?

Page 177: curs_PNS

166 Filtre recursive

• Dacă da, putem construi fizic sistemul care să aibă acea funcţie de transfer?

O parte din răspunsuri vin imediat dacă se reia o observaţie anterioară, cu referire la figura 8.4, şi anume faptul că pentru σ = 0, variabila s devine: s = e jω⋅, iar transformarea Laplace devine transformarea Fourier, conform relaţiei 8.9. Practic înseamnă că prin simpla substituţie s → jω⋅în funcţia de transfer, se obţine caracteristica de frecvenţă a sistemului în timp continuu:

ωj s

)sH()ωX(j)ωY(j )ωH(j

=== (8.17)

Tot din figura 8.4 se observă că prin substituţia s → jω se obţine caracteristica de frecvenţă în sensul propriu al definiţiei acesteia, adică acea care ne arată felul în care se comportă sistemul în situaţia în care la intrarea sa s-ar aduce semnale sinusoidale de diferite frecvenţe. Evident forma funcţiei de transfer „în s” pentru cazul s = jω determină o comportare selectivă, în sensul că pot exista semnale sinusoidale de frecvenţe „privilegiate” care să treacă neatenuate, altele care să treacă atenuate, iar unele pot chiar să nu treacă deloc prin acel sistem. Un astfel de sistem, privit prin prisma comportării sale spectrale, nu este altceva decât un filtru. După forma caracteristicii de frecvenţă acesta poate fi: FTJ, FTS, FTB, FOB sau poate avea forme particulare, aşa cum poate fi spre exemplu, cel din figura 8.4.

Dacă aşa stau lucrurile, se poate pune şi problema reciprocă, anume aceea de a crea filtre analogice, formate din rezistenţe, capacităţi şi inductanţe, pornind de la forma dorită a caracteristicii de frecvenţă. Această problemă este în parte rezolvată. Nu o vom prezenta aici fiindcă obiectivul nostru este filtrarea semnalelor digitale. 8.2. Rezolvarea numerică a ecuaţiilor diferenţiale 8.2.1. De la analogic la discret O să vedem în continuare cum se rezolvă aceeaşi problemă, dar transferată în domeniul timp discret. Vom analiza deci cazul în care mărimile de intrare şi ieşire sunt procesate numeric, după ce în prealabil ele sunt achiziţionate în urma unui proces de eşantionare având perioada de eşantionare egală cu Te.

Page 178: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 167

Pentru momentul t = nTe vom utiliza câteva notaţii consacrate:

u1(nT) → u1[n] ; u2(nT) → u2[n] ; u2(nTe-Te) → u2[n-1] (8.18) În aceste condiţii, în ecuaţia 8.2 trebuie să se folosească diferenţe finite în locul diferenţialelor dt şi du2(t). Rezultă:

====

1]-2[nu-2[n]uTe)-2(nTeu - 2(nTe)u 2(t)duTe 1)Te-(n - nTe dt

(8.19)

Ecuaţia diferenţială a sistemului devine o ecuaţie cu diferenţe finite:

2[n]uTe

1]-2[nu-2[n]uRC1[n]u +⋅= (8.20)

Făcând substituţiile: hTeRC

Te=

+ b

TeRCRC

=+

Se obţine: 1]-2[nub 1[n]uh 2[n]u ⋅+⋅= (8.21) Relaţia 8.21 este cea care permite calcularea valorii eşantionului curent u2[n] de la ieşirea sistemului, atunci când se cunosc: elementele sistemului (constantele R,C şi Te), valoarea eşantionului curent de la intrare u1[n], precum şi valoarea eşantionului anterior u2[n-1] de la ieşire. Relaţia 8.21 defineşte un sistem recursiv a cărui reprezentare grafică este cea din figura următoare:

+ u 2[ n ]

u 1[ n ]

Te

h

b

Figura 8.6. Implementarea relaţiei recursive 8.21. Câteva observaţii utile pentru implementarea soft a algoritmului 8.21.

Page 179: curs_PNS

168 Filtre recursive

• După cum se poate remarca din figura 8.6, este necesară prezenţa unei „celule de întârziere”, de fapt a unei variabile special alocate, care să memoreze eşantionul anterior celui curent, pentru mărimea de ieşire.

• Pentru ca valorile mărimii de ieşire să aproximeze cât mai bine tensiunea de ieşire a sistemului în timp continuu este de dorit ca mărimea Te să ia valori cât mai mici, respectiv eşantionarea să se facă „foarte des”. În figura 8.7, este prezentat rezultatul implementării algoritmului 8.23, pentru cazul în care mărimea de intrare este treaptă unitară.

Uu1[n]

u2[n]

nTe

nTe

U

n=0 Te

Figura 8.7. Răspunsul sistemului în timp discret.

În toate relaţiile în care intră în calcul şi valori anterioare eşantionului u1[0], fie de la intrare fie de la ieşire, trebuie ca acestea să fie setate la valori prestabilite, de obicei nule. Sensul matematic al acestei operaţii este acela de „stabilire a valorilor iniţiale”. Operaţia este utilă şi din punct de vedere al programării. Dacă secvenţei u1[n], îi este alocată o variabilă de tip vector u1dat[i] de lungime dată, există două modalităţi de a lucra cu valori anterioare eşantionului iniţial:

a. Se atribuie u1[0] primei locaţii a vectorului u1dat[i], urmând ca toate valorile ulterioare în timp u1[n+1], u1[n+2] etc. să ocupe locaţiile u1dat[1], u1dat[2] etc. De câte ori în relaţii vor fi necesare valori u1[n-1], u1[n-2] etc. acestea nu vor putea fi citite din u1dat[i] fiindcă citirea iese în afara domeniului de definiţie. Pentru evitarea erorilor de compilare, se va testa de fiecare dată dacă citirea este anterioară lui u1dat[0] şi se vor înlocui aceste valori cu zero.

Page 180: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 169

b. O a doua soluţie ar fi alocarea iniţială în variabila u1dat[i] a unei zone pentru calcule cu eşantioane anterioare. Pentru aceasta este suficient ca lui u1[0] să îi fie alocat u1dat[N], lui u1[1] să îi fie alocat u1dat[N+1], etc unde N reprezintă ordinul filtrului. Toate eşantioanele de la u1dat[0] la u1dat[N], vor fi nule.

Concluzii

• Din cele prezentate a rezultat modul în care se pot implementa soft ecuaţiile diferenţiale care definesc un sistem în timp continuu sau, altfel spus, cum este transferat un sistem în timp continuu într-unul în timp discret, şi cum poate fi acesta rezolvat.

• Metoda de rezolvare propusă, respectiv integrarea ecuaţiei diferenţiale, se numeşte metoda Euler. Ea este cea mai simplă, având ca prim merit pe acela de a fi intuitivă, cu caracter didactic. La o analiză mai atentă se constată că ea introduce erori sistematice, care sunt cu atât mai mari cu cât T este mai mare.

• Există numeroase metode de rezolvare numerică a ecuaţiilor diferenţiale, care reduc semnificativ erorile de integrare. Dintre acestea foarte cunoscută este metoda Runge-Kuta.

• Rezolvarea sistemelor de ecuaţii diferenţiale are o importanţă aparte fiindcă orice sistem fizic poate fi descris printr-un sistem de ecuaţii diferenţiale. Acest mod de descriere a unui sistem fizic poartă denumirea de modelare a sistemului. Modelarea sistemelor şi rezolvarea sistemelor lor de ecuaţii sunt instrumentele care permit crearea unei replici a sistemului fizic în calculator, sunt instrumentele care permit crearea unei lumi virtuale, aflată în spatele monitorului unui calculator.

8.2.2. Aplicaţii Problema 8.1. Se dau următoarele ecuaţii diferenţiale:

a. xkdt

xdm 2

2

⋅−=

b. dtdxk

dtxdm v2

2

⋅−=⋅

c. 0xkdtdxk

dtxdm v2

2

=⋅+⋅+⋅

Page 181: curs_PNS

170 Filtre recursive

d. Fxkdtdxk

dtxdm v2

2

=⋅+⋅+⋅ (t)

Să se determine funcţia x(t) prin discretizarea timpului, folosind metoda Euler de integrare numerică.

Problema 8.2. Se dă un corp de masă m asupra căruia acţionează începând cu momentul t=0, o forţă constantă de valoare F.

a. Să se determine ecuaţia diferenţială a vitezei acelui corp. b. Să se determine relaţia recursivă de calcul prin metoda Euler de

integrare numerică.

Problema 8.3. Să se determine legea de mişcare a unui corp asupra căruia acţionează o forţă proporţională cu deplasarea sa faţă de poziţia de echilibru, dar de semn contrar.

Problema 8.4. Să se determine legea de mişcare a unui corp asupra căruia acţionează o forţă proporţională cu viteza instantanee, dar de semn contrar.

Problema 8.5. Un student şi-a depus bursa (100 Euro) la o bancă, în data de 1 ianuarie 2005. El primeşte o dobândă anuală de 5%. În fiecare moment valoarea rezultată din dobândă se cumulează la valoarea iniţială şi în continuare va produce dobândă („dobândă la dobândă”). Câţi Euro va avea studentul la 1 august 2005 când pleacă în vacanţă la mare?

Problema 8.6. La 1 ianuarie 2005 se aşează pe o balanţă cantitatea de 1g de substanţă radioactivă, al cărei timp de înjumătăţire este 10 ani. Cât va arăta balanţa la 1 ianuarie 2006 ? Dar 1 ianuarie 2015? Relaţii utile ( ....numai în cazul că le-aţi uitat ... J...)

F = m⋅a; dtdva = ;

dtdxv = ; 2

000 )ta(t21 )t- v(t xx −++=

8.3. Transformata Z. La ce foloseşte unui student transformata Z? 8.3.1 Definiţia transformatei Z Similar ca în capitolul precedent, dedicat transformatei Laplace, şi în lumea semnalelor şi sistemelor în timp discret se pune problema determinării răspunsului sistemului. Deşi instinctiv am fi tentaţi să abordăm această

Page 182: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 171

problemă prin analiza în domeniul timp, calea cea mai uşoară de a determina răspunsul, este ca şi în cazul sistemelor în timp continuu, aceea de a folosi o transformare. Transformarta pe care o vom folosi în cadrul sistemelor în timp discret se numeşte transformata Z, iar implicarea ei în rezolvarea problemei enunţate anterior, este similară transformatei Laplace pentru sistemele în timp continuu, aşa cum este ilustrat în figura 8.8.

h[n]x[n] y[n]

X(z) Y(z)

Z

Z -1

Z

Z -1

Z

Z -1x[n] y[n]

H(z)

h[n]

Figura 8.8. Implicarea transformatei Z în

determinarea răspunsului unui sistem. Definiţia transformatei Z a unui semnal este:

X(z) = Zx[n] = ∑+∞

∞−=

−⋅ n

[n] zx n (8.22)

Pentru sisteme cauzale, rezultă:

X(z) = ∑+∞

=

−⋅0 n

[n] zx n (8.23)

8.3.2. De la transformarea Laplace la transformarea Z Cu dorinţa expresă de a privi transformata Z nu ca pe un scop în sine, ci ca pe un instrument matematic ce serveşte la proiectarea filtrelor numerice, sunt utile câteva precizări pentru înţelegerea ulterioară a folosirii ei.

Page 183: curs_PNS

172 Filtre recursive

• Transformata Z este de fapt „un caz particular” al transformatei Laplace, şi anume cazul în care variabila t nu va mai lua valori continue ci valori discrete, rezultat al eşantionării domeniului timp.

Transformata Laplace Transformata Z

t continuu t discret t ∈(-∞; +∞) t = n⋅Te

X(s) = L x(t) = ∫+∞

−∞=

⋅−⋅t

ts(t) dtex X(z) = Zx[n] = ∑

+∞

∞−=

−⋅ n

[n] zx n

Dacă eşantionarea se face cu perioada Te, atunci în relaţia de definiţie 8.5, variabila t se substituie cu nTe, şi firesc, integrala de variabilă t, între (-∞; +∞) devine sumă după n, fiindcă produsul x(t)⋅e -s⋅t va exista doar în punctele nTe. Pentru a da o identitate proprie acestui caz particular, în final se face substituţia:

e s⋅Te = z (8.24)

şi astfel se ajunge la transformata Z a unui semnal x[n]:

X(z) = L x[nTe] = Ten t t

ts(t) dtex

⋅=

∞+

−∞=

⋅−∫ ⋅ = ∑+∞

∞−=

−⋅ n

[n] zx n (8.25)

• Despre variabila z trebuie precizat că, la fel ca şi s, este o mărime complexă. Pentru a descoperi semnificaţia variabilei z, se reia relaţia 8.24.

e (σ+jω)⋅Te = z (8.26)

dezvoltând separat cei doi termeni ai egalităţii, z = | z |⋅e jϕ = R⋅e jϕ (8.27) e (σ+jω)⋅Te = e σ⋅Te ⋅ e jω⋅Te (8.28)

modulul lui z este R = e σ⋅Te⋅ (8.29)

iar unghiul făcut de vectorul de poziţie al punctului asociat lui z reprezintă chiar argumentul variabilei complexe z.

arg(z) =ϕ = ω⋅Te = 2πFeF (8.30)

• Rezultatele obţinute în relaţiile 2.29 şi 2.30, sunt prezentate grafic în figura 8.9, care evidenţiază legătura dintre variabilele s şi z:

Page 184: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 173

− semiplanul stâng ( σ⋅< 0 ) corespunde interiorului cercului R=1; − semiplanul drept ( σ⋅> 0 ) corespunde exteriorului cercului R=1; − axa jω devine chiar cercul de rază R=1;

σ

σ > 0σ < 0

σ = 0

Re z

Im z

R=1

ϕ

R > 1 R < 1

Figura 8.9. Corespondenţa dintre planul s şi planul z. • O importanţă deosebită o are cercul de rază unitară, asociat axei

imaginare din planul s. Pe cerc se găsesc toate semnalele sinusoidale complexe de amplitudine unitară:

z = e jωTe = cos

π

Fef2 + j sin

π

Fef2 . (8.31)

Re z

Im z

f = 0

f = 2eF

f = 4eF

f = − 4eF

f = − 2eF

f = Feπ

ϕ2

ϕ

Figura 8.10. Poziţia semnalelor sinusoidale în planul z.

• Putem determina uşor ce frecvenţă a sinusoidei îi corespunde un punct de pe cerc.

F=Fe/2 → z = cos (π) + j sin (π) = -1 F=Fe/4 → z = cos (π/2) + j sin (π/2) = j (8.32)

Page 185: curs_PNS

174 Filtre recursive

• Rezultă că pe semicercul superior se găsesc toate frecvenţele cuprinse în intervalul [0 ÷ Fe/2] aşa cum este arătat în figura 8.10. Semicercul inferior este alocat domeniului frecvenţelor negative. Fe reprezintă frecvenţa de eşantionare a semnalului căruia i se aplică transformare Z.

• O altă observaţie interesantă este legată tot de domeniul frecvenţelor şi mulţimea punctelor cercului. Se cunoaşte că datorită periodicităţii, fiecărui punct de pe cerc îi mai corespund o infinitate de alte frecvenţe rezultate din condiţia: ϕp = ϕ + k⋅2π, unde ϕ reprezintă unghiul din cadranele 1, 2, 3 şi 4 pentru k=0. Pentru a elimina confuzia şi problemele ce ar putea apare datorită acestui aspect, este firesc să luăm în considerare doar unghiurile din primele două cadrane, corespunzătoare frecvenţelor pozitive. Aşa cum am arătat anterior, primele două cadrane corespund semicercului superior, adică intervalului [0 ÷ Fe/2]. Iată deci o altă confirmare a necesităţii de a respecta teorema eşantionării, şi anume ca frecvenţa semnalului procesat numeric să nu depăşească jumătate din frecvenţa de eşantionare.

• Dezvoltată, suma ce reprezintă transformata Z devine:

X(z) = x[0]⋅z0 + x[1]⋅z -1 + x[2]⋅z -2 + x[3]⋅z -3 + ....... + x[n]⋅z –n + ...... (8.33)

Astfel privită transformata Z este în fond o serie de puteri ale numărului complex z (serie Laurent). O problemă imediată ce trebuie luată în considerare este convergenţa acestei serii, adică suma 8.33 este sau nu este finită. Este posibil ca seria să fie convergentă în afara cercului unitar, deoarece în acea regiune modulul lui z este mai mare ca 1, iar la limită z –n → 0 când n →∞. Alături de seria de puteri 8.33, transformata Z poate fi exprimată şi sub alte forme: raportul a două polinoame (formă numită „raţională”), sumă de fracţii etc. Toate acestea vor face obiectul unor analize ulterioare.

• Aşa cum transformata Laplace lua valori în toate punctele planului s, şi transformata Z ia valori în toate punctele planului z. Dacă privim cu atenţie definiţia transformatei Z şi ţinem cont de observaţia legată de convergenţa seriei, rezultă că există zone ale planului z în care transformata Z ia valori finite şi zone în care ia valori infinite. Zona planului z în care transformata Z ia valori finite se numeşte zona de convergenţă şi ea este singura în care trebuie să ne axăm interesul pentru analizele ulterioare. În caz general, zona de convergenţă a planului z este o zonă definită R1<|z|<R2, aşa cum este ilustrat în figura 8.11. În cazuri

Page 186: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 175

particulare R1 poate fi zero sau R2 poate fi ∞, aşa cum este în cazul în care transformata Z este scrisă ca serie de puteri.

Re z

Im z

R2

R1

Zona deconvergenţă

Figura 8.11. Zona de convergenţă generalizată în planul z.

Înainte de a încheia prezentarea aparatului matematic al transformărilor, este bine să rămânem cu o imagine de ansamblu a relaţiei dintre transformările Laplace, Z şi Fourier precum cea din figura 8.12. Acest lucru ne va permite să înţelegem mai bine rolul lor în rezolvarea sistemelor în timp continuu sau în timp discret.

Sisteme şi semnaleîn timp continuu

Sisteme şi semnaleîn timp discret

Transformata Laplace

H(s) = ∫+∞

−∞=

⋅−⋅t

ts dteh(t)

s = σ + j ω

Transformata Z

H(z) = ∑+∞

∞−=

−⋅ n

nzh[n]

Transformata Fourier

H(jω) = ∫+∞

−∞=

⋅−⋅t

tω j dteh(t)

Transformata FourierDiscretă

H(e jωTe) = ∑+∞

∞−=

⋅−⋅

n

nFeF2πj

zh[n]

s = j ω

t = n⋅Te

es⋅Te = z

z = e jωTe

Figura 8.12. Relaţia dintre transformările Laplace, Z şi Fourier

Page 187: curs_PNS

176 Filtre recursive

8.3.3. Proprietăţile transformatei Z În enumerarea celor câteva proprietăţi prezentate în continuare, se consideră că secvenţa x[n] are ca transformată Z pe X(z). a. Liniaritatea. Având două secvenţe x1[n] şi x2[n] atunci: Z a⋅x1[n] + b⋅x2[n] = a⋅X1(z) + b⋅X2(z) (8.34)

b. Întârzierea (sau deplasarea). Z x[n−m] = z −m ⋅X(z) (8.35)

c. Convoluţia. Fie o secvenţă x[n] aflată la intrarea unui sistem liniar şi o secvenţă y[n] aflată la ieşirea aceluiaşi sistem a cărui funcţie răspuns la impuls unitar este h[n]

y[n] = ∑+∞

∞=

⋅ - k

]k -n []n [ xh (8.36)

Între transformatele z ale celor trei mărimi există relaţia:

Y(z) = H(z) ⋅ X(z) (8.37)

H(z) se numeşte funcţie de transfer a sistemului. Relaţia 8.37. este de o importanţă deosebită, în contextul general al aflării răspunsului sistemului prin utilizarea transformării Z, aşa cum este el ilustrat în figura 8.8.

d. Diferenţierea.

Z n⋅x[n] = −z ⋅dz

dX(z) (8.38)

e. Multiplicarea cu o secvenţă exponenţială.

Z an⋅x[n] = X(a−1⋅z) (8.39)

f. Transformata secvenţei simetrice faţă de origine.

Z x[−n] = X

z1 (8.40)

g. Transformata unei secvenţe complexe.

Z x*[n] = X*(z*) (8.41)

h. Transformata părţii reale.

Z Re(x[n]) = 21 [X(z)+ X*(z*)] (8.42)

i. Transformata părţii imaginare.

Page 188: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 177

Z Im(x[n]) = j2

1 [X(z) − X*(z*)] (8.43)

8.3.4. Determinarea caracteristicii de frecvenţă atunci când se cunoaşte funcţia de transfer Z Ceea ce urmează este o primă parte a răspunsului la întrebarea: La ce foloseşte transformata Z? Consecinţă a proprietăţii 8.37, funcţia de transfer Z, este:

)z(X)z(Y)z(H = (8.44)

Să presupunem că la intrare se aduce un semnal sinusoidal complex de amplitudine unitară.

Page 189: curs_PNS

178 Filtre recursive

Şi acum ... câteva calcule:

Se identifică partea reală şi partea imaginară a funcţiei de transferH(jω) = a + j⋅b

Se determină caracteristica de frecvenţă :

Se cunoaşte H(z)

Dacă este cunoscut sistemul, h[n]funcţia de transfer se determină cu

relaţiile: 8.35, 8.36 şi 8.37

H(z) este impusăîn datele iniţiale ale probleme

Se face substituţia: z = e jωTe = e j2πF/Fe

H(z) = ?

Se calculează şi se trasează graficcaracteristica de amplitudine:

22 ba )ωH(j +=

Se calculează şi se trasează graficcaracteristica de fază:

ϕ = abarctg)ωH(j arg =

Se foloseşte formula Euler: e jx = cos (x) + j⋅sin(x).

Figura 8.13. Determinarea caracteristicii de frecvenţă a unui sistem Definită ca în 8.44 funcţia de transfer Z arată, într-o primă evaluare, “de câte ori este mai mare” sinusoida complexă de la ieşire faţă de cea de la intrare. Deci funcţia de transfer H(z) ascunde în ea caracteristica de frecvenţă a sistemului, adică felul în care se comportă sistemul atunci când la intrarea se aduc sinusoide de frecvenţe diferite. În concluzie, cunoscând funcţia de transfer H(z), se cunoaşte caracteristica de frecvenţă a sistemului. Pentru determinarea a caracteristicii de frecvenţă (modul şi fază), se parcurg paşii din figura 8.13: Urmând paşii menţionaţi, să considerăm următorul exemplu: Se dă sistemul discret descris de algoritmul:

Page 190: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 179

y[n] = x[n] + x[n-1] (8.45) Aplicând transformata Z, şi proprietăţile 8.36 şi 8.35 rezultă: H(z) = 1+ z-1 (8.46)

bja )Tesin(-ω j )Tecos(-ω 1 ez)zH( )H(j jω ⋅+=⋅++===ω (8.47)

unde: a = 1+ cos(2π⋅f / Fe); b = −sin(2π⋅f / Fe)

π⋅+=+=ω

Fef2cos 2 22b 2a )H(j (8.48)

fπ- abarctg ⋅=

=φ (8.49)

|H(jω)|

ϕ(ω)

fFe/2

f

Figura 8.14. Caracteristica de amplitudine şi de fază

pentru exemplul 8.42 Din reprezentarea grafică a celor două mărimi se remarcă:

• Caracteristica de amplitudine este specifică unui filtru trece jos. • Caracteristica de fază arată că defazajul dintre sinusoida de

intrare şi cea de ieşire scade proporţional cu frecvenţa, aşa cum rezultă din relaţia 8.49. Filtrele care proprietatea ca defazajul dintre sinusoida de intrare şi cea de ieşire, se numesc “filtre cu fază liniară”. Toate filtrele nerecursive sunt filtre cu fază liniară.

• Zona haşurată este “zonă interzisă”, din considerente rezultate din teorema eşantionării.

Page 191: curs_PNS

180 Filtre recursive

Efortul de calcul poate fi mult scurtat, dacă se folosesc funcţiile Matlab: abs(Hz) pentru calculul modulului şi arg(Hz) pentru calculul fazei.

8.3.5. Filtrele recursive. Forme de realizare Un alt posibil răspuns la întrebarea La ce foloseşte transformata Z?, îl constituie faptul că ea reprezintă un instrument puternic pentru analiza şi proiectarea filtrelor numerice.

Se numeşte filtru recursiv sistemul numeric descris în domeniul timp de următorul algoritm liniar:

i] -[n y [i] b k] -[n x [k]h [n]yM

1i

N

0k∑∑

==

⋅−⋅= (8.50)

unde: x[n] reprezintă o secvenţă de date de intrare; y[n] reprezintă o secvenţă de date de ieşire; h[k] şi b[i] reprezintă coeficienţii filtrului (sistemului).

Din definiţia filtrului rezultă că eşantionul curent y[n] al secvenţei de ieşire, se obţine printr-o combinaţie liniară între ultimele N+1 eşantioane din secvenţa de intrare x[n] şi ultimele M eşantioane din secvenţa de ieşire. Privind algoritmul 8.50 ca şi filtru numeric, ne interesează studiul proprietăţilor sale spectrale, adică a modului în care algoritmul se comportă faţă de semnale sinusoidale de frecvenţe diferite aduse la intrarea sa. Pentru aceasta vom folosi transformata Z. În acest scop rescriem relaţia 8.50 astfel:

y[n]+b1 y[n-1]+...+bM y[n-M] = h0 x[n]+h1 x[n-1] +...+hN x[n-N] (8.51)

Aplicând transformata Z relaţiei 8.51 şi ţinând cont de proprietăţile 8.36 şi 8.35, rezultă: Y(z) [1+b1⋅z-1+.....+ bM⋅z-M] = X(z) [h0⋅z-0+h1⋅z-1+.....+hN⋅z-N] (8.52)

Funcţia de transfer a sistemului descris în domeniul timp de relaţia 8.50 devine:

M-M

2-2

1-1

-NN

-22

-11

-00

zb....zbzb1zh.....zhzhzh

X(z)Y(z)H(z)

⋅++⋅+⋅+⋅++⋅+⋅+⋅

== (8.53)

Relaţia (8.53) se numeşte „forma raţională” a funcţiei de transfer Z a unui sistem recursiv. În cazul în care toţi coeficienţii b[k] sunt nuli, sistemul este

Page 192: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 181

nerecursiv, iar funcţia sa de transfer devine o serie de puteri ale lui z, cea aflată la numărătorul relaţiei 8.53.

Modul de implementare al algoritmului recursiv (8.50), având funcţia de transfer (8.53), este sugestiv reprezentat în figura 8.15, care se numeşte în literatura de specialitate forma de realizare directă I (forma transversală).

z−1

+

h0 h1

y [n]

x [n] z−1 z−1

h N h2

x [n−1] x [n−2] x [n−N]

- b 0 - b 1 -b M

z−1 z−1 z−1 y [n−2] y [n−1]y [n−M]

Figura 8.15. Realizarea filtrului recursiv. Forma directă I.

În această formă de realizare: • Blocurile notate cu z-1 reprezintă celule de întârziere. Prezenţa lor în

schemă implică folosirea unor locaţii de memorie pentru a reţine valoarea eşantioanelor anterioare eşantioanelor curente x[n] şi y[n].

• În consecinţă, dacă prin x[n] notăm eşantionul curent, atunci x[n-1] reprezintă eşantionul anterior.

Mai există şi alte forme de realizare ale filtrului recursiv. Pentru a găsi o altă formă de realizare, vom reaşeza forma din figura 8.15 ca în figura 8.16, aşezare bazată pe distribuirea blocului sumator.

Page 193: curs_PNS

182 Filtre recursive

z−1

y [n] x [n]

z−1

x [n−1]

x [n−N]

y [n−2]

y [n−1]

y [n−M]

z−1

+

+ h[1]

+ h[2]

h[N]

h[0]

x [n−2]

+

+

+

z−1

z−1

z−1

- b[1]

- b[2]

- b[M]

Figura 8.16. Forma directă I reaşezată.

Cele două structuri marcate cu linie punctată sunt comutative, aşa că le putem inversa. În noua aşezare se observă că jumătate din celulele de întîrziere sunt redundante, şi prin urmare ele pot fi reunite. Se ajunge astfel la forma directă II, reprezentată în figura 8.17.

z−1

y [n] x [n]

z−1

z−1

+

+ h[1]

+ h[2]

h[N]

h[0]+

+

+

- b[1]

- b[2]

- b[M]

v [n]

Figura 8.17. Realizarea filtrului recursiv. Forma directă II.

Forma directă II are avantajul unui număr înjumătăţit de celule de întârziere faţă de forma directă I. Pentru implementarea algoritmului avem nevoie însă de introducerea unei noi variabile notată cu v[n], definită astfel:

Page 194: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 183

+=

−−−−=

+++ vh vh vh v y

vb .... vb vb x v

N]-[n[N] ........... 1]-[n[1] [n][0][n][n]

M]-[n[M] 2]-[n[2]1]-[n[1][n][n] (8.54)

Matematic funcţia de transfer (8.53) mai poate fi scrisă şi în alte forme echivalente, forme care vor genera modalităţi de realizare diferită a filtrului. Astfel, dacă se cunosc cele N rădăcini ale numitorului, raportul celor două polinoame în z-1, mai poate fi scris astfel:

∑= ⋅+⋅+

⋅++=

2/N

1k2-

k21-

k1

1-k1k0

zb zb 1

za a C)z(H (8.55)

Alegerea de polinoame de gradul doi la numitorul factorilor din relaţia 8.55 este justificată de faptul că este în acest fel, rădăcinile complexe ale numitorului vor genera coeficienţi pozitivi. Forma de realizare este cea din figura 8.18, şi poartă denumirea de formă canonică paralel. În cazul în care N este impar, atunci primul termen al sumei are numitorul de gradul I, iar primul “bloc” al formei de realizare va o singură celulă de întârziere.

y [n] x [n]

+

+ z−1

a11

+

z−1

a01

- b11

- b21

+ z−1

a1q

+

z−1

a0q

- b1q

- b2q

C

Figura 8.18. Forma canonică paralel.

Page 195: curs_PNS

184 Filtre recursive

Cunoscând cele N rădăcini ale numitorului şi cele M rădăcini ale numitorului, funcţia de transfer (8.53) mai poate fi scrisă ca produs de factori:

∏= ⋅+⋅+

⋅+⋅+⋅=

Q

1k2-

k21-

k1

2-k2

1-k1k0

zb zb 1

za za a K)z(H (8.56)

Numărul factorilor din descompunere depinde de gradul polinoamelor de la numărătorul şi numitorul funcţiei de transfer 8.53. În acest caz realizarea filtrului se numeşte forma canonică în cascadă.

y [n]x [n] + + z−1

a11

z−1

a21

- b11

- b21

+ + z−1

a1q

z−1

a2q

- b1q

- b2q

Figura 8.19. Forma canonică în cascadă 8.3.6. Transformata Z şi rezolvarea ecuaţiilor cu diferenţe finite Tot transformata Z este folosită pentru rezolvarea ecuaţiilor cu diferenţe finite de ordin superior. Am văzut în exemplul din figura 8.1, cum mărimile de intrare şi de ieşire sunt legate între ele printr-o ecuaţie diferenţială, ecuaţie care în cazul unui sistem în timp discret devine ecuaţie cu diferenţe finite. Generalizând, în ecuaţie pot interveni si derivate de ordin superior ale mărimii de ieşire precum şi derivate de ordin superior ale mărimii de intrare, ceea ce în timp discret devine o relaţie între ultimele N+1 eşantioane din secvenţa de intrare şi ultimele M eşantioane din secvenţa de ieşire. Relaţia dintre intrare şi ieşire, se poate scrie pentru un caz general astfel: y[n]+b1 y[n-1]+...+bM y[n-M] = h0 x[n]+h1 x[n-1] +...+hN x[n-N] (8.54) Se observă că se ajunge la aceiaşi relaţie ca şi (8.51), care duce la aceleaşi relaţii ca şi în cazul filtrului recursiv. În felul în care am pus problema, relaţia 8.54, permite implementarea software a algoritmului de calcul a mărimii de ieşire a unui sistem în timp discret, sistem descris de o ecuaţie

Page 196: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 185

diferenţială de ordin superior. Rezolvarea sistemului se face folosind tot transformata Z. 8.4. Poli şi zerouri

8.4.1. Ce sunt polii şi zerourile ?

Transformata H(z) poate avea mai multe forme: • Forma de bază, rezultată din definiţia transformatei Z, este cea descrisă

ca serie de puteri ale lui z –1, şi prezentată în relaţia 8.33. • A doua formă numită “forma raţională” este 8.53, adică raportul a două

polinoame de variabilă z. Există şi o altă versiune a formei raţionale şi anume cea în care polinoamele conţin puteri pozitive ale lui z, versiune simplu de obţinut dacă se forţează z−N factor comun la numărător şi z−M factor comun la numitor.

0

M1-M

1M

0N

1-N1

N0N-M

zb....zbz1zh.....zhzh z H(z)

⋅++⋅+⋅⋅++⋅+⋅⋅= (8.54)

• A treia formă importantă a transformatei Z este cea în care atât numitorul cât şi numărătorul sunt exprimate ca produse de factori de gradul I sau II, lucru simplu de făcut dacă se cunosc rădăcinile numărătorului şi numitorului din forma raţională. În acest caz, dacă numărătorul este de ordinul N, atunci acesta se poate exprima ca produsul a N factori de forma (z – zk), unde zk sunt cele N rădăcini ale polinomului de la numărătorul formei 8.54. Similar, dacă ordinul numitorului este M, vom găsi tot atâţia factori la numitorul funcţiei de transfer.

)p(z)p(z)p(z)z(z)z(z)z(zzh H(z)

M21

N21NM0 −⋅⋅⋅−⋅−

−⋅⋅⋅−⋅−⋅⋅= − (8.55)

Există posibilitatea ca în forma factorială 8.55, unele rădăcini să fie complex conjugate, caz în care vor apare şi factori de ordinul doi. De asemenea pot exista poli sau zerouri multiple, caz în care factori din descompunere apar la o putere egală cu numărul de rădăcini multiple. Dacă M-N ≠ 0, atunci factorul zM-N, îşi aduce contribuţia cu rădăcini nule, fie ca zerouri, fie ca poli, în funcţie de valorile lui M şi N.

• Există şi alte forme derivate din 8.53, acestea făcând obiectul unor investigaţii ulterioare.

Page 197: curs_PNS

186 Filtre recursive

• Legat de forma 8.55, prin definiţie:

§ rădăcinile numărătorului se numesc “zerouri ale funcţiei de transfer”, § rădăcinile numitorului se numesc “poli ai funcţiei de transfer”.

Cele N zerouri şi cei M poli, îşi găsesc o reprezentare geometrică în planul z. Pentru a ilustra acest lucru iată un exemplu numeric:

)j5,05,0(z)j5,05,0(z)5,0(z)0,8j(z)0,8j(z)3,0(z

)5,0z(z)3,0(z)0,4(z)5,0(z H(z) 2

2

−−+−+−+−

=+−+

+−= (8.56)

Funcţia de transfer 8.56 are: un zerou real, două zerouri complexe, un pol real şi doi poli complecşi. Toate acestea îşi găsesc un loc în planul z. Amplasarea lor în planul z este arătată în figura 8.16.

Re Z

Im Z

0Fe/2

Zerouricomplexe

Zero realPol real

Policomplecşi

Figura 8.16. Planul Z cu polii şi zerourile funcţiei 8.56.

Tuturor punctelor aflate pe cercul de rază unitară, le corespund semnale sinusoidale de amplitudine unitară. Astfel punctului de pe cerc având: - ϕ = 0, îi corespunde o sinusoidă de frecvenţă nulă (semnal continuu); - ϕ = π/2, îi corespunde o sinusoidă de frecvenţă F = Fe / 4; - ϕ = π, îi corespunde o sinusoidă de frecvenţă F=Fe / 2.

- ϕ oarecare, frecvenţa i se determină cu regula de trei simplă, ştiind că semicercului (π), îi corespunde jumătate din frecvenţa de eşantionare:

Fe/2........................... π.. F............................... ϕ.

Rezultă: FeFπ2=ϕ (8.57)

Page 198: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 187

Nu trebuie să ne punem problema frecvenţelor mai mari decât Fe / 2. Ele nu vor exista în semnal, fiindcă acesta trebuie să respecte teorema eşantionării. Deci de interes pentru analiza ce o vom face în continuare este doar semicercul “de sus” al cercului unitar, care corespunde intervalului de frecvenţă [0, Fe/2]. 8.4.2. Ce efect au polii şi zerourile ? Aşa cum am mai precizat, transformata Z ne permite calculul caracteristicii de frecvenţă a sistemului. De această dată ne interesează care este efectul spectral al polilor şi zerourilor, respectiv cum influenţează ele semnale sinusoidale de anumite frecvenţe. Exemplul care urmează este util pentru o înţelegere simplă a modului în care transformata Z reflectă comportarea unui sistem atunci când la intrarea sa se aduc semnale armonice de frecvenţe diferite, comportare analizată de această dată cu ajutorul zerourilor şi polilor. Pentru aceasta să considerăm un sistem definit astfel:

y[n] = x[n] + x[n-1] (8.58)

Funcţia sa de transfer în z este:

H(z) = 1+ z-1 (8.59)

Fiindcă vom face o analiză în planul variabilei z, este util să aducem funcţia de transfer la o formă în care să apară “z” în loc de “z −1”.

z

z1z1 1 1-z 1 H(z) +

=+=+= (8.60)

Modulul acestei funcţii de transfer, cel care defineşte caracteristica de amplitudine este:

0-z 1)(z

z1z

z1z H(z)

−−=

+=

+= (8.61)

Relaţia 8.61 poate fi privită din punct de vedere “geometric” foarte intuitiv în planul z aşa cum este prezentat în figura 8.17.

Page 199: curs_PNS

188 Filtre recursive

Z

Im Z

Re Z -1

" Zero " " Pol "

| Z-(0) || Z-(-1) |

Figura 8.17. Estimarea grafică a modulului funcţiei de transfer în planul Z, pentru exemplul 8.58

Legat de reprezentarea geometrică a modulului funcţiei de transfer, sunt utile următoarele observaţii:

• Conform definiţiei, variabila z din funcţia H(z), se află în permanenţă pe cercul de rază unitară.

• Pentru un semnal de intrare a cărui frecvenţă creşte de la 0 la Fe/2, punctul z se deplasează pe semicercul corespunzător cadranelor I şi II din punctul (1,0) în sens direct trigonometric, până în (-1,0);

• Conform definiţiei, pentru exemplul ales, avem „un zero” în punctul (-1,0) şi un pol în punctul (0,0);

• Observăm acum că factorul de la numărătorul relaţiei 8.60 reprezintă distanţa geometrică dintre punctul z de pe cerc şi zeroul funcţiei de transfer, iar factorul de la numitor reprezintă distanţa dintre punctul z de pe cerc şi polul funcţiei de transfer.

• Urmare a observaţiei anterioare, marele beneficiu al transformatei Z în forma poli zerouri, este acela că modulul funcţiei de transfer poate fi privit ca raport al lungimii celor două segmente menţionate.

• Modulul funcţiei de transfer |H(z)| se poate evalua intuitiv şi în situaţia în care semnalul de intrare este de frecvenţă variabilă. În acest caz se evaluează raportul celor două segmente făcând punctul z de pe cerc să “se plimbe” între limitele 0 şi Fe/2.

• În cazul exemplului nostru se observă că segmentul datorat polului din origine rămâne mereu constant, iar segmentul datorat zeroului

Page 200: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 189

variază între valoarea "2", atunci când f=0, şi valoarea "0", atunci când f=Fe/2 (frecvenţa Nyquist).

• Deci la frecvenţă nulă modulul funcţiei de transfer numit şi amplificare are valoare maximă. Pentru f=Fe/2 amplificarea este nulă, deci semnalele de această frecvenţă nu mai trec (nu se mai propagă) prin sistem.

Constatăm deci că sistemul având funcţia de transfer 8.60 are o comportare de filtru, în sensul că permite trecerea frecvenţelor joase şi nu permite trecerea frecvenţelor înalte. Acest filtru are o caracteristică de frecvenţă de tip "trece jos" (FTJ).

Urmare a concluziilor ce se desprind în urma analizei făcute pe exemplul dat, se poate spune simplu că polii amplifică sinusoidele de anumite frecvenţe iar zerourile le atenuează. Cu cât o sinusoidă de pe cercul unitar este “mai aproape” de un pol, cu atât acea frecvenţă va fi amplificată mai mult. Cu cât o sinusoidă de pe cercul unitar va fi “mai aproape” de un zero, cu atât mai mult acea frecvenţă va fi mai atenuată. Un zero plasat pe cercul unitar va atenua complet acea frecvenţă! Iată câteva reguli care merită reţinute:

• Polii trebuie să fie întotdeauna în interiorul cercului unitar. • Zerourile pot fi plasate oriunde. • Se poate utiliza orice număr de poli sau zerouri, dar acestea trebuie

să fie “perechi”, simetrice faţă de axa reală (axa orizontală), pentru ca în formula filtrului să nu avem decât coeficienţi reali. De exemplu, plasând un pol în punctul (0,2; 0,5i), automat va trebui plasat un al doilea în punctul (0,2; -0,5i). Un caz particular este cel al punctelor plasate pe axa reală care în urma regulii enunţate vor fi neperechi.

În ipoteza că am avea un singur pol, cea mai amplificată frecvenţă ar fi cea corespunzătoare punctului de pe cerc, cel mai apropiat de pol, adică punctul ce are acelaşi unghi ca şi polul ales. În ipoteza că un pol ar fi plasat chiar pe cerc, atunci pentru frecvenţa corespunzătoare acelui pol, amplificarea devine infinită. În acest caz sistemul devine instabil, de aceea polii trebuie plasaţi doar în interiorul cercului unitar.

Page 201: curs_PNS

190 Filtre recursive

Im Z Im Z Im Z

Re Z Re Z Re Z

Fe/8 Fe/8 Fe/8

|H(jω)|

f

Fe/2Fe/8

|H(jω)|

f

Fe/2Fe/8

|H(jω)|

f

Fe/2Fe/8

Figura 8.18. Efectul distanţei unui pol faţă de origine.

Aşa cum am mai spus, polii trebuie să fie doar “perechi”, şi în acest caz pentru a determina care este frecvenţa cea mai amplificată, trebuie să estimăm, care va fi punctul de pe cerc în care efectul însumat al celor doi poli este maxim. Printr-o estimare geometrică sumară, se observă că punctele pentru care este cel mai uşor de estimat acest efect sunt ϕ=0 şi ϕ=π, fiindcă acestea sunt singulare nu perechi. De asemenea pentru polii perechi aflaţi foarte aproape de aceste două puncte, se poate intui efectul cumulat al celor doi poli conjugaţi Unghiul polilor determină valoarea frecvenţelor amplificate din banda de frecvenţă . Legat de modulul polului ales, efectul acestuia este ilustrat de figura următoare. Cu cât un pol se află mai aproape de cerc, cu atât mai selectivă (mai îngustă) va fi caracteristica de frecvenţă în dreptul acelei frecvenţe, aşa cum este ilustrat în figura 8.18. 8.5. Proiectarea filtrelor recursive utilizând polii şi zerourile transformatei Z (metoda “poli-zerouri”) Din cele prezentate în paragraful anterior, a rezultat legătura evidentă dintre poziţia polilor şi zerourilor funcţiei de transfer H(z) şi caracteristica de

Page 202: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 191

frecvenţă a sistemului. Această legătură este foarte intuitivă, ea permiţând realizarea unor forme diverse ale caracteristicii de frecvenţă, prin simpla amplasare corespunzătoare a polilor şi zerourilor. Este cea mai simplă metodă de proiectare a filtrelor recursive. O dată stăpânită, ea vă permite construcţia şi implementarea rapidă a acestui tip de filtre. 8.5.1. Proiectarea unui filtru trece bandă

Să se proiecteze un filtru recursiv trece bandă, căruia i se impun următoarele cerinţe:

• funcţia de transfer să aibă doi poli complex conjugaţi, plasaţi ca în figura 8.19;

• Fe = frecvenţă de eşantionare; • Fp = frecvenţa centrală a benzii de trecere a filtrului.

Coordonatele polilor sunt: p1 = px + j⋅py p2 = px − j⋅py (8.62)

Funcţia de transfer, va avea în acest caz forma generală:

2121

20

21

0

ppz)p(p-za

)p-)(zp-(za

H(z)+⋅+

== (8.63)

Re Z

Im Z

0Fe/2

p1

p2

px

py

ϕ

Figura 8.19. Proiectarea unui filtru trece bandă recursiv, utilizând amplasarea corespunzătoare a polilor.

Coeficientul a0 se introduce doar pentru a normaliza modulul caracteristicii de frecvenţă, adică pentru a obţine |H(z)|=1, în dreptul frecvenţei centrale a filtrului. Ţinând cont de faptul că p1 şi p2 sunt complex conjugate, rezultă:

Page 203: curs_PNS

192 Filtre recursive

=⋅−⋅++⋅⋅−+⋅+

=)pjp)(pjp(z)pjppj(p-z

aH(z)

yxyxyxyx2

0

)pp( zp2z

a2y

2xx

20

++⋅−=

Dacă forţăm factor comun pe z2 de la numitor, se obţine:

z)pp( zp21

zaX(z)Y(z)H(z) 22

y2x

1x

20

−−

⋅++⋅−⋅

== (8.64)

Acum se exprimă Y(z) în funcţie de X(z):

[ ] 20

22y

2x

1x zaX(z) z)pp( zp21Y(z) −−− ⋅⋅=⋅++⋅−⋅ (8.65)

X(z)zaY(z)z)pp( Y(z)zp2Y(z) 20

22y

2x

1x ⋅⋅=⋅⋅++⋅⋅− −−− (8.66)

Dacă se revine la domeniul discret, vom avea:

y)pp( yp2 xay]2[n]1[n]2[n[n]

2y

2xx0 −−−

⋅+−⋅+⋅= (8.67)

Care este corespondenţa dintre coordonatele polilor şi elementele caracteristicii de frecvenţă? Răspunsul la întrebare este simplu dacă exprimăm cei doi poli impuşi, nu în coordonate carteziene, ci în coordonate polare. p1 = px + j⋅py = R⋅cos ϕ + j⋅R⋅sin ϕ p2 = px − j⋅py = R⋅cos ϕ − j⋅R⋅sin ϕ (8.68)

Unde φ= 2π Fp / Fe

Înlocuind în 8.66, se obţine:

y[n] = a0⋅x[n-2] + 2⋅R⋅cos(φ) ⋅y[n-1] − R2⋅y[n-2] (8.69)

Pentru determinarea lui a0, vom pune condiţia ca în dreptul frecvenţei Fp, valoarea modulului caracteristicii de frecvenţă să fie unitară.

1)p-)(zp-(z

a H(z)

z(Fp)z21

0z(Fp)z ==

== (8.70)

Rezultă: a0 = | (z-p1) (z-p2) | z=z(Fp) (8.71)

Page 204: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 193

Re z

Im z

0Fe/2

p1

p2

px

py

ϕ

z

z-p2

z-p1R

Figura 8.20. Pentru determinarea coeficientului a0. Condiţia 8.59 nu garantează că în dreptul frecvenţei Fp valoarea |H(j)| este maximă. Oricum dacă polul p1 este apropiat de cerc, atunci maximul se atinge într-o zonă foarte apropiată de Fp. În finalul acestui exemplu, un exerciţiu util este trasarea exactă a caracteristicii de frecvenţă conform cu 8.3.4. În felul acesta se poate verifica efectul alegerii unui R mai apropiat de 1, 8.5.2. Filtru trece bandă cu calităţi îmbunătăţite

Se poate îmbunătăţi calitatea filtrului trece bandă proiectat anterior prin amplasarea unui zerou z1, între cei doi poli chiar pe axa reală, de coordonate (zx, 0), ca în figura 8.21. Acesta va compensa în parte efectul nedorit al polului conjugat.

Re Z

Im Z

0Fe/2

p1

p2

px

py

ϕ z1

Figura 8.21. Filtru trece bandă cu doi poli şi un zero.

Page 205: curs_PNS

194 Filtre recursive

De această dată funcţia de transfer va fi:

)p(z)p(z

)z(zaX(z)Y(z)H(z)

21

10

−⋅−−⋅

== (8.72)

Urmând exact aceeaşi cale ca în exemplul precedent se ajunge la următoarea structură a filtrului recursiv:

y[n] = a0⋅x[n] + a0⋅z1⋅x[n-1] + b1⋅y[n-1] + b2⋅y[n-2] (8.73)

Coeficienţii b1 şi b2 vor fi exact cei din exemplul precedent, iar z1 poate fi, spre exemplu, egal chiar cu px = R cos(ϕ). 8.5.3. Exemple de filtre simple a. Filtru pentru rejecţia totală a unei frecvenţe F (“notch filter”).

Pentru înlăturarea totală a unei frecvenţe, ideea de bază este aceea de a plasa un zerou z1 pe cerc, chiar în dreptul frecvenţei dorite, ca în figura 8.22. În acest caz datorită factorului (z-z1) aflat la numărător, se va anula modulul caracteristicii de frecvenţă pentru frecvenţa corespunzătoare lui z1. Pentru a putea implementa filtrul cu coeficienţi reali, este nevoie să luăm două zerouri conjugate, dintre care cel din primul cadran este cel care va corespunde frecvenţei F rejectate. Coordonatele celor două zerouri sunt:

z1 = cos(2πF/Fe) + j⋅sin(2πF/Fe) z2 = cos(2πF/Fe) − j⋅sin(2πF/Fe) (8.74)

Re z

Im z

0Fe/2

p1

p2

ϕ

z1

z2

R

Figura. 8.22. Două zerouri şi doi poli pentru un “notch filter”.

Un filtru numeric nu poate rejecta complet o singură frecvenţă, fără a le afecta şi pe cele din jur. De aceea, un astfel de filtru poate fi inclus în

Page 206: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 195

categoria filtrelor opreşte bandă, adică filtrul va atenua complet frecvenţa F, dar va atenua într-o anume măsură şi frecvenţele apropiate de frecvenţa F, aşa cum se vede în figura 8.23.

R=0,88

R=0,98

f

f

Fe/2

|H(jω)|

ϕ(jω)

Figura. 8.23. Caracteristica de frecvenţă a filtrului “notch”

O idee de a reduce efectul celor două zerouri asupra frecvenţelor apropiate de frecvenţa F este să introducem doi poli conjugaţi, chiar în dreptul frecvenţei F, care să amplifice ceea ce atenuează zerourile. Introducerea celor doi poli va avea ca efect îngustarea benzii de oprire.

p1 = R⋅[cos(2πF/Fe) + j⋅sin(2πF/Fe)] p2 = R⋅ [cos(2πF/Fe) − j⋅sin(2πF/Fe)] (8.75)

Chiar şi în lipsa polilor p1 şi p2, filtrul va rejecta complet frecvenţa F (“notch filter”). Cu cât R este mai aproape de 1, cu atât banda de frecvenţe oprită va fi mai îngustă în jurul frecvenţei rejectate.

b. Filtru recursiv trece jos (FTJ) Un astfel de filtru poate fi realizat în mai multe feluri. Cel mai simplu filtru recursiv, de tip FTJ, este cel care are un singur pol, plasat pe axa reală, având 0 < r < 1. Filtre cu o caracteristică mai apropiată de caracteristica unui FTJ ideal se pot obţine plasând unul sau mai multe zerouri în (−1, 0), şi o pereche de poli conjugaţi corespunzători unor frecvenţe F < Fe/4, ca în figura 8.24. Cu cât raza polilor este mai mare, cu atât mai mult va exista o amplificare mai mare în jurul acelei frecvenţe, deci caracteristica nu va mai fi plată în banda de trecere.

Page 207: curs_PNS

196 Filtre recursive

Re Z

Im Z

0

p1

p2

z1,2

Figura. 8.24. Două zerouri şi doi poli pentru un filtru trece jos.

c. Filtrul recursiv trece sus (FTS) Problema este similară cu sinteza filtrului trece jos, cu deosebirea că zeroul va fi plasat în (1,0) iar polii vor fi plasaţi în cadranul 2.

Re Z

Im Z

p1

p2

z1,2

Fe/2

Figura. 8.25. Două zerouri şi doi poli pentru un filtru trece sus.

d. Filtrul trece tot Acest tip de filtru are o pereche de poli conjugaţi şi o pereche de zerouri conjugate, ca în figura 8.26. Un pol şi un zerou au aceeaşi frecvenţă, dar au razele invers proporţionale, iar celălalt pol şi celălalt zerou sunt complex conjugaţi cu primii.

p1,2 = R⋅[cos(2πF/Fe) ± j⋅sin(2πF/Fe)] z1,2 = (1/R)⋅[cos(2πF/Fe) ± j⋅sin(2πF/Fe)] (8.76)

Un zero plasat la acelaşi unghi cu un pol, dar având raza egală cu inversul razei polului, va neutraliza efectul acestuia, din punct de vedere al amplificării.

Page 208: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 197

Re Z

Im Z

p1

p2

z1

Fe/2

z2

0

Figura. 8.26. Două zerouri şi doi poli pentru un filtru trece tot.

Un astfel de filtru are o caracteristică de frecvenţă a cărei amplitudine este constantă, egală cu 1 pentru orice frecvenţă. Deci semnale sinusoidale aduse la intrarea filtrului vor trece fără a-şi modifica amplitudinea, indiferent de frecvenţa lor. În schimb, caracteristica de fază a acestui filtru nu va fi mai fi una constantă. Semnalele de frecvenţă diferită vor avea aceeaşi amplitudine, dar vor fi diferit întârziate. Cu cât R este mai aproape de 1, cu atât mai neliniară va fi caracteristica de fază.

La ce bun un astfel de filtru? Am amintit că filtrele nerecursive se mai numesc şi filtre cu “fază liniară”, adică întârzierea unei sinusoide ce trece printr-un filtru nerecursiv este proporţională cu frecvenţa acelui semnal. În schimb, filtrele recursive au o caracteristică de fază neliniară, acest fapt fiind un dezavantaj al acestui tip de filtre. Pentru a corecta acest neajuns se poate utiliza un filtru trece tot, care poate fi pus în serie cu un filtru recursiv, pentru a-i corecta acestuia caracteristica de fază şi a o face liniară. 8.5.4. Concluzii şi sfaturi utile referitoare la metoda “poli şi zerouri”

• Dacă se plasează un pol peste un zero, ele îşi neutralizează reciproc efectele. Lucrul este evident şi din faptul că în funcţia de transfer, cei doi termeni se vor simplifica.

• Dacă se plasează un nou zero sau un nou pol peste unul existent, atunci aceştia vor ajunge soluţii multiple ale numărătorului, respectiv numitorului. Efectul este o accentuare a caracterului dorit al tipului caracteristicii. Spre exemplu, dacă în exemplul anterior, în care s-a proiectat un filtru trece bandă, se va impune un pol multiplu în locul unuia simplu, caracteristica obţinută va avea o selectivitate mai bună.

Page 209: curs_PNS

198 Filtre recursive

Deci ideea folosirii polilor şi zerourilor multiple este una prin care se pot obţine foarte simplu caracteristici de frecvenţă performante.

• Dacă nu se plasează nici un pol, atunci filtrul obţinut va fi unul nerecursiv.

• Un zero plasat pe cercul unitar face ca respectiva frecvenţă să nu treacă deloc prin filtru. Acest gen de filtre poartă denumirea de “notch filter”.

• Un pol plasat pe cercul unitar, face ca sistemul să devină un oscilator. Un pol în afara cercului unitar, face ca sistemul să devină instabil.

• Zerourile afectează coeficienţii implicaţi în secvenţa x[n] de intrare, iar polii afectează coeficienţii implicaţi în secvenţa y[n] de ieşire.

• Pentru ca coeficienţii filtrului să aibă valori reale, trebuie ca polii şi zerourile să fie reali, sau perechi complex conjugate.

• Pentru valori mici ale distanţei faţă de origine, cea mai amplificată frecvenţă nu este întotdeauna cea care are acelaşi unghi cu al polului, datorită efectului valorii perechii complex conjugate.

• Cu cât creşte numărul de zerouri, cu atât mai mulţi coeficienţi va avea filtrul şi în consecinţă, vor fi implicate mai multe valori x[n-k] din secvenţa de intrare, anterioare valorii curente x[n].

• Cu cât creşte numărul de poli, cu atât va creşte numărul coeficienţilor filtrului şi vor fi implicate mai multe valori y[n-k] din secvenţa de ieşire anterioare valorii curente y[n]. În ambele cazuri, filtrul va fi “mai lung”, şi va necesita un timp de calcul mai mare.

• Se adaugă în origine poli sau zerouri după caz. Numărul şi felul lor trebuie să fie corespunzător factorului zM-N din relaţia. Regulă simplă: la fiecare pol în plus faţă de numărul zerourilor, se adaugă un zerou în origine, şi reciproc la fiecare zerou în plus faţă de numărul polilor se adaugă un pol în origine. Dacă numărul polilor este egal cu al polilor, nu există poli sau zerouri în origine.

Cele menţionate până acum, cu referire la realizarea filtrelor recursive cu ajutorul proprietăţilor polilor şi zerourilor, sunt sintetizate în figura 8.27, sub forma unor paşi, pe care-i vom reţine mai uşor dacă poartă şi un nume: “metoda poli-zerouri”.

Page 210: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 199

Se pleacă de la cerinţe impuse caracteristicii de frecvenţă dorite.Acestea pot fi sub forma unor specificaţii, sau sub formă grafică.

Se sintetizează “grafic” caracteristica de frecvenţă dorităprin amplasarea (adăugarea) de poli şi zerouri,

în poziţii convenabile ale planului z, în acord cu concluziile 8.5.4.

Se transformă forma raţională 8.54, cu polinoame “în z”în forma raţională 8.53 cu polinoame “în z -1”.

Se determină caracteristica de frecvenţă,pe baza funcţiei de transfer H(z) ca în capitolul 8.3.4.

Dacă rezultatul este nemulţumitor, se reia construcţia caracteristiciifolosind alţi poli şi alte zerouri după caz

Polii şi zerourile plasate grafic trebuie “trecuţi” în funcţia H(z) 8.55

Se transformă funcţia din forma factorială 8.55în forma raţională 8.54, cu polinoame “în z” la numărător şi numitor

Se folosesc cei N coeficienţi h[k] şi cei M-1 coeficienţi b[k]pentru a filtra semnale x[n] dorite:

] i - 1 -[n y ] i [ b - k] -[n x [k]h [n]y1M

0i

1N

0k∑∑

=

=

⋅⋅=

Din 8.53 se identifică cei N coeficienţi h[k] şi cei M-1 coeficienţi b[k]

Figura 8.27. Realizarea filtrelor recursive cu metoda “poli-zerouri”

8.6. Proiectarea filtrelor recursive folosind transformata Z Fiindcă proiectarea prin această metodă este mai laborioasă din punct de vedere al aparatului matematic, este bine ca de la început să avem o imagine de ansamblu a paşilor ce trebuie făcuţi, pentru atingerea obiectivului.

Page 211: curs_PNS

200 Filtre recursive

8.6.1. Etapele proiectării

Etapele proiectării filtrelor recursive folosind transformata Z sunt sintetic prezentate în figura următoare:

Se trece în domeniul timp discret.

Construcţie şi analiză în timp continuu

Se determină transformata Z:H(s) → H(z)

Alegerea funcţiei de aproximare dorită: Butterworth, Cebâşev, etc

Se folosesc cei N coeficienţi h[k] şi cei M-1 coeficienţi b[k]pentru a filtra semnale x[n] dorite:

] i - 1 -[n y ] i [ b - k] -[n x [k]h [n]y1M

0i

1N

0k∑∑

=

=

⋅⋅=

Sinteza schemei filtrului recursiv, (algoritmul) corespunzător lui H(z)

Stabilirea ordinului funcţiei de aproximare (ordinul filtrului)

Determinarea exactă a funcţiei de aproximare H(s)

Determinarea coeficienţilor algoritmului de filtrare

Stabilirea gabaritului caracteristicii de frecvenţă a filtrului dorit

Figura 8.28. Etapele proiectării unui filtru recursiv, folosind transformata Z.

O primă observaţie importantă este faptul că pentru proiectarea acestui filtru numeric se porneşte de la proiectarea unui filtru din domeniul semnalelor în timp continuu (semnale analogice). După determinarea

Page 212: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 201

funcţiei de transfer a acestui filtru analogic (Hs), se trece la realizarea propriu-zisă a filtrului numeric prin obţinerea transformatei H(z). Vom detalia în continuare etapele menţionate.

8.6.2. Stabilirea gabaritului caracteristicii de frecvenţă.

Este firesc faptul că dacă se doreşte proiectarea unui filtru numeric, trebuie pornit de la cerinţele impuse acestui filtru, aşa cum am procedat şi la proiectarea filtrelor nerecursive. Cerinţele impuse filtrului se concretizează prin a stabili (a impune) forma şi dimensiunile caracteristicii de frecvenţă H(jω) pentru un filtru analogic, “similar” cu viitorul filtru numeric. Caracteristica de frecvenţă presupune cunoaşterea atât a caracteristicii de amplitudine |H(jω)|, cât şi a caracteristicii de fază arg[H(jω)]. În cazul filtrului de faţă vom impune doar dimensiunea (forma) caracteristicii de amplitudine. În cazul de faţă stabilirea formei şi dimensiunilor caracteristicii de frecvenţă a filtrului (gabaritului filtrului) înseamnă stabilirea unui domeniu în interiorul căruia să se afle caracteristica de frecvenţă, aşa cum se exemplifică în figura 8.29.

|H(jω)|

f FT FA

Amax

Amin

1 Exemplu de caracteristicăce se încadrează în

gabaritul impus

Figura 8.29. Definirea gabaritului unui FTJ În figura 8.29 este ilustrat modul în care se poate defini gabaritul unui FTJ. Caracteristicii de frecvenţă (amplitudine) i se impune să fie cuprinsă în zona haşurată. Zona haşurată şi implicit caracteristica de frecvenţă este definită cu ajutorul următorilor parametrii:

Page 213: curs_PNS

202 Filtre recursive

• FT – reprezintă frecvenţa de trecere a filtrului trece jos, definită ca fiind frecvenţa la care amplificarea scade cu 3 dB faţă de valoarea maximă avută în zona de trecere.

• FA – reprezintă o frecvenţă aleasă din afara benzii de trecere, frecvenţă la care se va impune o anumită amplificare A min,

• Amax – reprezintă atenuarea în interiorul benzii de trecere. Conform definiţiei frecvenţei de trecere aceasta este de 3dB. , şi pentru toate aplicaţiile care vor urma se va considera această valoare.

• Amin – Atenuarea în dB, pentru semnalele sinusoidale de frecvenţa FA. Fiindcă sunt atenuări, trebuie ca: Amin > Amax.

|H(jω)|

f FT1 FA1

Amax

Amin

1

FA2 FT2

Figura 8.30. Definirea gabaritului unui FTB. Un filtru trece sus, se defineşte similar, folosind tot cei 4 parametri. Pentru un filtru trece bandă, definirea gabaritului se face conform figurii 8.30, impunând pentru ambele capete ale benzii aceeaşi valoare Amin, urmată de precizarea valorii frecvenţelor FA1 şi FA2. Se precizează de asemenea valorile frecvenţelor de trecere FT1 şi FT2 la care atenuarea este de 3dB. Similar se defineşte şi filtrul opreşte bandă.

8.6.3. Alegerea unei funcţii de aproximare

În continuare se pune problema alegerii funcţiilor de exprimare cele mai adecvate. În literatură se cunosc mai multe astfel de funcţii, toate având specific faptul că se încadrează în zona haşurată şi că permit apoi obţinerea transformatei Z. Iată o clasificare a principalelor funcţii de aproximare a caracteristicii de frecvenţă

Page 214: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 203

• funcţii polinomiale: - Butterworth - Cebişev - Bessel - Legendre etc.

• funcţii fracţii raţionale: Zolotarev

Dintre cele amintite vom alege pentru exemplificarea principiului, funcţia de tip Butterworth. În literatura de specialitate acest tip de funcţie se găseşte date tabelar. Altfel spus există tabele cu polinoame Butterworth începând de la gradul I până la gradul VII-VIII în care se dau valorile coeficienţilor acestor polinoame. În continuare se prezintă un algoritm de determinare a funcţiei de aproximare Butterworth de orice ordin, pornind de la gabaritul caracteristicii de frecvenţă. Forma generală a funcţiei de aproximare este dată de relaţia 8.77. Numărul de factori de la numitorul funcţiei depinde de alura caracteristicii de frecvenţă impuse. Cu cât se impune o caracteristică mai selectivă, deci cu o pantă accentuată între frecvenţele FT şi FA din figura 8.29, cu atât mai mulţi factori vor fi necesari.

( )

∏= +

⋅π

⋅−⋅⋅⋅+⋅

⋅+

=Q

1i 1N2

1i2sins22s

1

s2NFrac21

1 H(s) (8.77)

unde N reprezintă ordinul filtrului, iar Q reprezintă numărul de factori de gradul II din expresia funcţiei de aproximare. Ordinul filtrului se determină cu relaţia:

( )

)/Flg(F 1e lg

21 > N

TA

10

ln(10)Amin

−⋅

(8.78)

Dacă N se alege impar în expresia lui H(s) va exista şi un factor de ordinul întâi la numitor, iar dacă N este par, nu vor exista decât factori de ordinul doi. Numărul de factori de ordinul doi se calculează astfel:

Q=

2NInt (8.79)

Relaţia 8.66 este valabilă numai în ipoteza că Amax = -3dB. Se poate uşor constata că ordinul filtrului şi implicit numărul de factori din expresia funcţiei de aproximare creşte o dată cu impunerea unei forme mai selective caracteristicii de frecvenţă. Astfel cu cât FA este mai apropiat de FT, şi Amin de valoare mai mică, caracteristica va avea o

Page 215: curs_PNS

204 Filtre recursive

înclinare mai mare în dreptul zonei frecvenţei de trecere, deci va fi mai selectivă. Determinarea funcţiilor de transfer pentru alte forme ale gabaritului propus, ca de exemplu filtre trece sus (FTS), filtre trece bandă (FTB) sau filtre opreşte bandă (FOB) se procedează de fiecare dată în felul următor:

a) se determină funcţia Butterworth corespunzătoare filtrului FTJ, cu relaţiile 8.77, 8.78, 8.79.

b) dacă se doreşte un FTS cu aceiaşi frecvenţă de tăiere ca şi FTJ, acesta se obţine simplu făcând, în funcţia FTJ, substituţia următoare:

s1s → (8.80)

c) pentru FTB se face substituţia:

( ) B1/s+ss ⋅→ (8.81)

d) pentru FOB:

1/s+s

Bs → (8.82)

unde B se defineşte cu ajutorul limitelor impuse benzii de trecere: fp1, fp2:

fp2fp1fp2-fp1=B

⋅ (8.83)

8.6.4 Aflarea transformatei Z a funcţiei Butterworth determinate Firul roşu al rezolvării problemei îl reprezintă descompunerea funcţiei din forma iniţială într-o sumă de fracţii cărora li se poate determina transformata Z. Paşii de urmat sunt sintetizaţi în figura 8.30. Pentru aceste situaţii transformata Z este diferită şi se calculează conform tabelelor cunoscute în literatură, fie pentru numitor de gradul I, fie pentru numitor de gradul II. În concluzie transformata Z obţinută este o sumă de forma:

H(z) = h i h i z

1 + b i z b i z 0 1

- 1

1 - 1

2 - 2

i = 1

Q + ⋅

⋅ + ⋅∑ (8.84)

pentru termenul de gradul I vom avea h 1 i = b 2 i = 0

Page 216: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 205

Determinarea transformatei Z pe baza aproximării Butterworth.

∑= +⋅+

+⋅+

+⋅=

Q

1i 1sx(i)p2sibsia

2N2Frac

1s2k1k

H(s)

∑=

−−

⋅+⋅+⋅+

=Q

i

z1

2i 2

1i 1

1i 1i 0

zbzb1 hh

H(z)

00

2-n2-n

1-n1-n

nn sC.......sCsCsC

1H(s)⋅++⋅+⋅+⋅

=

∏= +

π⋅⋅+

⋅⋅

Q

1i 12N

1)-(2isin s22s

1

2NFracs2+1

1 =H(s)

Figura 8.30. Determinarea transformatei Z folosind funcţii de aproximare Butterworth.

8.6.5. Sinteza schemei filtrului recursiv

Pe baza relaţiei obţinute pentru transformata Z se poate sintetiza o arhitectură de filtrul "paralel". Astfel fiecare modul generat de termenii sumei de funcţii de gradul II la numitor se va conecta cu intrarea sa la intrarea filtrului şi cu ieşirea sa la ieşirea filtrului ca în figura 8.19. Cu ajutorul coeficienţilor şi utilizând relaţia de recursivitate pentru un filtru, implementarea algoritmului de filtrare se face astfel:

( )Y(n) = X(n) h i + X(n - 1) h i Y(n - 1) b i Y(n - 2) b i i = 1

Q

0 1 1 2 ∑ ⋅ ⋅ − ⋅ − ⋅ (8.85)

Page 217: curs_PNS

206 Filtre recursive

Avantajul utilizării unui astfel de filtru faţă de unul cu o structură transversală este acela că în ciuda unor variabile şi a unor calcule în plus, filtrul de faţă nu foloseşte informaţie “veche”, deoarece această structură nu are decât celule de întârziere de cel mult ordinul 2.

8.4 TRANSFORMAREA BILINIARĂ

La această transformare modul de punere a problemei este identic cu cel de la transformata Z până la momentul obţineri funcţiei de transfer F(s). În acest moment se face substituţia:

1z1z

FeFptg

1s+−

=

∏ (8.86)

Acest fapt duce la obţinerea transformatei H(z) sub formă de produse de factori de forma:

∏=

− ⋅+⋅+⋅+

=Q

1i2-1

1i

-10i0i

zb2izb1 z hhH(z) (8.87)

Arhitectura rezultată are forma canonică în cascadă, şi este prezentată în figura 8.19.

8.8. Proiectarea filtrelor recursive în MATLAB

Realizarea filtrelor recursive în mediul Matlab, se face în acelaşi mod cu filtrele nerecursive (vezi cap 7.4). Aceasta deoarece din punct de vedere al construcţiei caracteristicii de frecvenţă nu există nici o diferenţă de principiu între cele două categorii de filtre. Pentru realizarea filtrelor recursive există două funcţii speciale: iirlpnorm şi iirgrpdelay conţinute în “Filter Design Toolbox”. Funcţiile returnează doi vectori unidimensionali: “h” şi “b” conţinând coeficienţi pentru forma transversală a filtrului recursiv.

Page 218: curs_PNS

Ioan P. MIHU - Procesarea numerică a semnalelor 207

8.9 Aplicaţii Problema 8.1. Se dă filtrul: y[n] =2 x[n] – x[n–1] + 0,8⋅y[n–1]. Să se precizeze:

• Care este ordinul filtrului? • Filtrul este recursiv sau nerecursiv? • Filtrul este de tip FIR sau IIR? • Care este funcţia de transfer a filtrului. • Care este caracteristica de frecvenţă a filtrului. • Să se determine primele 5 eşantioane ale secvenţei de ieşire dacă

secvenţa de intrare este următoarea: x[n]=1; x[n–1] =8; x[n–2] = –3; toate celelalte eşantioane fiind nule.

• Cum se determină caracteristica de frecvenţă în Matlab?

Problema 8.2. Funcţiile de transfer a două filtre sunt: a. H(z) = 1+ 0,5⋅z b. H(z) = z / (1+ 0,5⋅z)

Să se calculeze secvenţele de ieşire, dacă secvenţa de intrare este aceeaşi: x[n] = 0, 1, 0, -1, 0, 2, 0 –2

Problema 8.3. Un filtru numeric are o transformată Z cu 3 poli şi 3 zerouri. Aşezaţi polii şi zerourile de aşa fel în planul z încât filtrul să să aibă o caracteristică de frecvenţă de forma:

a. FTJ; b. FTB; c. Notch filter; d. Filtru Trece Tot.

Argumentaţi răspunsurile. Problema 8.4. Transformata Z a unui filtru numeric este:

a. z

5,0z)z(H −=

b. 5,0z

z)z(H−

=

Pentru fiecare caz în parte, plasaţi polii şi zerourile în planul Z şi apoi trasaţi caracteristica de amplitudine şi de fază a filtrului. Problema 8.5. Un filtru are funcţia de transfer:

H(z) = 1+0,5⋅z–1– z–2. Să se precizeze:

• Care este ordinul filtrului?

Page 219: curs_PNS

208 Filtre recursive

• Desenaţi schema bloc a filtrului, cu celule de întârziere • Trasaţi caracteristica de frecvenţă a filtrului

Problema 8.6. Un filtru are funcţia de transfer:

1-

1

z1 z1 H(z)

−+

=−

• Care este ordinul filtrului? • Desenaţi schema bloc a filtrului, cu celule de întârziere • Trasaţi caracteristica de frecvenţă a filtrului

Problema 8.7. Un filtru are funcţia de transfer:

2-1-

1

z z1 z1 H(z)

−−+

=−

Să se precizeze: • Care este ordinul filtrului? • Desenaţi schema bloc a filtrului, cu celule de întârziere • Trasaţi caracteristica de frecvenţă a filtrului

8.10. Bibliografie [8.1] Antoniou, A., “Digital Filters: Analysis, Design, and Applications”, McGraw-Hill, Inc. 1993.

[8.2] Porat, B, “A Course in Digital Signal Processing”, John Wiley and Sons, 1997

[8.3] Ifeachor, E.C., Jervis, B.W., “Digital Signal Processing – A Practical Approach”, Addison/Wesley, 1999

[8.4] *** http://www.dspguide.com/ch33.htm

[8.5] *** http://www.bores.com/courses/intro/iir/index.htm

[8.6] *** http://www.dsptutor.freeuk.com/digfilt.pdf