CURRICULUM VITAE - Universitatea "Lucian Blaga" din...

25
CURRICULUM VITAE Dl. Lucian N. VINȚAN este profesor universitar (din anul 2000) și primul conducător de doctorate în domeniul „Calculatoare și tehnologia informației” (2001) la Universitatea „Lucian Blaga” din Sibiu, unde conduce „Centrul de cercetare pentru arhitecturi avansate de procesare a informaţiei” – v. http://acaps.ulbsibiu.ro/. A obținut titlul de inginer diplomat (MSc, 1987) în domeniul “calculatoarelor” (“direcția de aprofundare: proiectarea si construcția echipamentelor de calcul”, cf. foii matricole) și un doctorat în domeniul științei și ingineriei sistemelor de calcul (1997), ambele de la Universitatea "Politehnica" din Timișoara (Institutul Politehnic “Traian Vuiadin Timișoara, până în anul 1991). Profesorul Lucian N. VINȚAN este expert în arhitectura sistemelor de calcul, optimizare multi- obiectiv și metode de text-mining. El a publicat peste 160 de articole științifice, inclusiv în reviste (ISI) (Thomson Reuters) Clarivate Analytics Web of Science (WoS) prestigioase (Q1/Q2), dintre care se menționează, spre exemplu, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (revistele din categoria “IEEE Transactions on ...” sunt considerate cele mai valoroase în domeniul științei calculatoarelor, la nivel mondial), Information Sciences (Elsevier), Concurrency and Computation: Practice and Experience (John Wiley & Sons), Journal of Systems Architecture (Elsevier), IET Computers & Digital Techniques (United Kingdom), Microprocessors and Microsystems (Elsevier), Proceedings of The Romanian Academy, Series A etc. precum și în conferințe internaționale de referință (IEEE, ACM), din România, SUA, Marea Britanie, Italia, Germania, Spania, China etc. Peste 45 dintre acestea sunt indexate / cotate (ISI) (Thomson Reuters) WoS. Lucrările sale au înregistrat până în prezent (anul 2017) peste 800 de citări internaționale independente, prin intermediul unor publicații de certă valoare științifică (spre ex. în revista IEEE Transactions on Computers). Are un indice Hirsch H-index=16 (v. http://scholar.google.com/citations?user=9NiMZo4AAAAJ&hl=ro, accesat la 9 decembrie 2017). Prof. univ. dr. ing. Lucian VINȚAN a fost unul dintre pionierii introducerii unor metode de învățare automată, logici fuzzy, teoria informației, rețele Petri, metode de optimizare etc., în cercetarea sistemelor de calcul. În anul 1999 el a propus, în premieră mondială, conceptul de predictor neuronal pentru instrucțiunile de ramificație (branches), implementabil - și ulterior implementat - în microprocesoarele avansate 1 , într-o lucrare având peste 70 de citări în reviste/conferințe științifice internaționale de prestigiu, până în anul 2017. Au urmat alte câteva lucrări publicate care au dezvoltat această idee (spre ex., predictorul de branch-uri de tip perceptron – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Rom_JIST.pdf). Aceste lucrări de pionierat au produs un mare interes în comunitatea arhitecților de calculatoare, fiind dezvoltate și rafinate de 1 Vințan L., Towards a High Performance Neural Branch Predictor, Proceedings of The International Joint Conference on Neural Networks, Washington DC, 1999

Transcript of CURRICULUM VITAE - Universitatea "Lucian Blaga" din...

Page 1: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

CURRICULUM VITAE

Dl. Lucian N. VINȚAN este profesor universitar (din anul 2000) și primul conducător de doctorate în domeniul „Calculatoare și tehnologia informației” (2001) la Universitatea „Lucian Blaga” din Sibiu, unde conduce „Centrul de cercetare pentru arhitecturi avansate de procesare a informaţiei” – v. http://acaps.ulbsibiu.ro/. A obținut titlul de inginer diplomat (MSc, 1987) în domeniul “calculatoarelor” (“direcția de aprofundare: proiectarea si construcția echipamentelor de calcul”, cf. foii matricole) și un doctorat în domeniul științei și ingineriei sistemelor de calcul (1997), ambele de la Universitatea "Politehnica" din Timișoara (Institutul Politehnic “Traian Vuia” din Timișoara, până în anul 1991).

Profesorul Lucian N. VINȚAN este expert în arhitectura sistemelor de calcul, optimizare multi-obiectiv și metode de text-mining. El a publicat peste 160 de articole știin țifice, inclusiv în reviste (ISI) (Thomson Reuters) Clarivate Analytics Web of Science (WoS) prestigioase (Q1/Q2), dintre care se menționează, spre exemplu, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (revistele din categoria “IEEE Transactions on ...” sunt considerate cele mai valoroase în domeniul științei calculatoarelor, la nivel mondial), Information Sciences (Elsevier), Concurrency and Computation: Practice and Experience (John Wiley & Sons), Journal of Systems Architecture (Elsevier), IET Computers & Digital Techniques (United Kingdom), Microprocessors and Microsystems (Elsevier), Proceedings of The Romanian Academy, Series A etc. precum și în conferințe internaționale de referință (IEEE, ACM), din România, SUA, Marea Britanie, Italia, Germania, Spania, China etc. Peste 45 dintre acestea sunt indexate / cotate (ISI) (Thomson Reuters) WoS. Lucrările sale au înregistrat până în prezent (anul 2017) peste 800 de citări interna ționale independente, prin intermediul unor publicații de certă valoare științifică (spre ex. în revista IEEE Transactions on Computers). Are un indice Hirsch H-index=16 (v. http://scholar.google.com/citations?user=9NiMZo4AAAAJ&hl=ro, accesat la 9 decembrie 2017).

Prof. univ. dr. ing. Lucian VINȚAN a fost unul dintre pionierii introducerii unor m etode de învățare automată, logici fuzzy, teoria informației, rețele Petri, metode de optimizare etc., în cercetarea sistemelor de calcul. În anul 1999 el a propus, în premieră mondială, conceptul de predictor neuronal pentru instrucțiunile de ramificație (branches), implementabil - și ulterior implementat - în microprocesoarele avansate1, într-o lucrare având peste 70 de citări în reviste/conferințe știin țifice internaționale de prestigiu, până în anul 2017. Au urmat alte câteva lucrări publicate care au dezvoltat această idee (spre ex., predictorul de branch-uri de tip perceptron – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Rom_JIST.pdf). Aceste lucrări de pionierat au produs un mare interes în comunitatea arhitecților de calculatoare, fiind dezvoltate și rafinate de

1 Vințan L., Towards a High Performance Neural Branch Predictor, Proceedings of The International Joint Conference

on Neural Networks, Washington DC, 1999

Page 2: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

mulți cercetători din străinătate. Ulterior, predic ția neuronală a branch-urilor a fost implementată în microprocesoare comerciale avansate, precum Oracle Sparc T4-4 (2011), AMD Bulldozer (2011), AMD Piledriver (2012), AMD Bobcat/Jaguar (2014), Samsung Exynos M1 (2016), AMD Zen (2016/2017) și AMD Ryzen (2017) etc. A dezvoltat și a publicat metode adaptive de procesare predictiv-speculativă, implementabile în arhitecturile performante de calcul. În efortul de dezvoltare a unor metode de optimizare a sistemelor de calcul, a îmbunătățit calitatea și convergența unor algoritmi genetici multi-obiectiv, prin cunoștințe specifice de domeniu, exprimate inclusiv sub forma unor reguli în logici fuzzy (spre ex. lucrarea clasificată Q1 WoS intitulată: Performance and Energy Optimisation in CPUs through Fuzzy Knowledge Representation, Information Sciences, ISSN: 0020-0255, DOI: 10.1016/j.ins.2018.03.029, Elsevier, 2018). În acest demers a publicat o serie de articole științifice fertile, în reviste de incontestabilă valoare internațională, unele clasate categoriile Q1/Q2 WoS (Wiley2, Elsevier, IET-UK etc.) De asemenea, în anul 2016 a dezvoltat o metodă originală de meta-optimizare pentru sisteme complexe de calcul, publicată într-o revistă din elitista serie IEEE Transactions3. A adus contribuții știintifice originale și fertile în urm ătoarele domenii: algoritmi avansați de aritmetică binară implementați în hardware/firmware/software; implementarea unor noi instrucțiuni-mașină și funcții de bibliotecă prin microprogramare dinamică (accelerator limbaj Fortran 77 pe minisistemul I-102F/4M, compatibil DEC PDP11/44); metode analitice de evaluare și optimizare ale unor arhitecturi avansate de calcul; a fost printre primii cercetători care au inițiat în arhitectura calculatoarelor dezvoltarea unor metode analitice de cercetare - ca alternativă la clasicele metode de simulare / evaluare pe bază de benchmarking - prin două articole publicate la conferințe importante din străinătate (Euromicro) în anii 1996 respectiv 1997; modelarea, simularea și optimizarea unor arhitecturi avansate de cache-uri (algoritmi de control adaptiv al acestora, spre ex. "Selective Victim Cache"); modelarea și simularea unor noi scheme de predicție dinamică a branch-urilor; spre exemplu, conceptul de "dynamic neural branch prediction" l-a introdus în premieră mondială, fiind recunoscut ca atare și citat, până în anul 2016, în peste 100 de lucrări știin țifice publicate la nivel internațional (articole, căr ți și brevete) ale unor grupuri prestigioase de cercetare, academice și industriale, de la Universitățile Berkeley, Rutgers, Purdue, Virginia etc. din SUA, Politecnica Barcelona din SPANIA, institutul de cercetare INRIA din FRAN ȚA, Universitatea Politehnică din Milano - ITALIA etc., respectiv de la companiile INTEL, HEWLETT-PACKARD etc. – a se vedea LISTA sa DE LUCRĂRI, http://webspace.ulbsibiu.ro/lucian.vintan/html/Lista.doc; dezvoltarea unei metodologii de identificare a branch-urilor dificil predictibile (nepolarizate – “unbiased", cvasi-aleatoare) și extinderea contextului relevant de reprezentare, în vederea predictibilității acestora; branch-uri pre-calculabile dinamic (concept citat și dezvoltat ulterior, în special în arhitecturi de tip Simultaneous MultiThreading - SMT); dezvoltarea unor metrici de caracterizare a gradului de aleatorism al secvențelor de simboluri, bazate pe compresia acestora, entropie informațională discretă, predictibilitate Hidden Markov Models - HMM, complexitate Kolmogorov-Chaitin; determinarea gradelor maxime de paralelism la nivel de instrucțiuni mașină și a influențelor diferitelor limitări arhitecturale asupra acestora; modelarea și simularea unor procese predictiv-speculative, implementabile în microarhitecturile avansate (spre exemplu, ideea originală de predicție dinamică a valorilor centrată pe contextul CPU - ca alternativa a centrării pe instrucțiuni, cum s-a propus anterior - publicată în revista cotată ISI TR WoS, IET Comp.& Digit. Techn., UK, iulie 2005); reutilizarea dinamică și predicția valorilor instruc țiunilor cu latență ridicată în arhitecturile superscalare și SMT - a arătat că acestea pot fi implementate eficient în condiții de consum energetic rezonabil; arhitecturi multi-core și many-core (explorarea euristic/ontologică a spațiului de proiectare, în vederea optimizărilor multi-obiectiv de tip Pareto, proiectarea

2 Jahr R., Vințan L., et al., Finding Near-Perfect Parameters for Hardware and Code Optimizations with Automatic Multi-Objective Design Space Explorations, Concurrency and Computation: Practice and Experience, Vol. 27, Issue 9, John Wiley & Sons, 2015 3 Vințan L., et al., Improving Computing Systems Automatic Multi-Objective Optimization through Meta-Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 35, Issue 7, 2016

Page 3: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

Network on Chip și maparea optimală a thread-urilor pe nodurile re țelei, în cadrul paradigmei de programare paralelă etc); modelarea și implementarea unor procese de predicție contextuală în aplicații de tip " ubiquitous computing" (în vederea implementării pro-activității în sistem, bazat pe predictoare stohastice Markov, Hidden Markov Models, neuronale, Bayes-iene, hibride etc.); clasificarea/clusterarea automată a documentelor (prin metode de tip nucleu, spre exemplu utilizând algoritmi de învățare de tip "Support Vector Machine", Bayes-ieni, neuronali, metode hibride - metaclasificatoare, meta-optimizare – articol publicat în prestigioasa revistă IEEE Transactions on CAD etc.)

Este unul dintre pionierii introducerii unor metode de machine learning (rețele neuronale, algoritmi genetici multi-obiectiv, algoritmi de tip swarm intelligence, data-mining etc.), sisteme decizionale, logici fuzzy și teoria informa ției în cercetarea sistemelor avansate de calcul (în special prin lucrările B11, B24, B25, B28, B35, B39, B48, B57, B65, B69, B70, B75, B77, B80, B81, B83, B94, B101, B102 etc. din Lista de lucrări – v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Lista.doc).

Vizite cooperare/specializare: Anglia (Universitatea De Montfort - 1999, 2000; Universitatea Hertfordshire - 1996, 1999, 2000), Republica Irlanda (Universitatea Galway - 1999), Spania (Universitatea Autonoma Barcelona - 1999, Universitatea "Politecnica" Barcelona - 2005), Suedia (Universitatea Lund - 2000), Germania (Universitatea din Karlsruhe - 2001; Siemens CT IC, Munchen, 2002, 2003, 2005; Universitatea din Augsburg - 2003, DAAD grant; Compania Continental Nuremberg - 2004) respectiv S.U.A. (University of Missouri-Columbia - Visiting Scholar 497156999 (Social Security Card no.), July 15-August 13 1999, USIA grant from the United States Government) etc.

Domenii de interes știin țific: Arhitectura sistemelor de calcul, Metode de optimizare multi-obiectiv, Text Mining

Dintre articolele sale științifice considerate deosebit de relevante se amintesc, în mod selectiv, următoarele (lista completă de lucrări este disponibilă online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Lista.doc):

Some of my most relevant publications in:

• developing CPU automatic multi-objective optimization methods (1) and • developing low power CPU architectures based on predictive and speculative techniques (2).

Below you can have a look on my most important research papers related to these topics (all the papers are available online through the corresponding links).

• VINȚAN L ., FLOREA A., GELLERT A. – Focalising Dynamic Value Prediction to CPU’s Context, Computers & Digital Techniques, IEE Proceedings (from 2006: IET Computers and Digital Techniques, ISSN: 1751-8601), United Kingdom, Vol. 152, No. 4, ISSN 1350-2387, July 2005, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/IEE.pdf • GELLERT, A. FLOREA, L. VIN ȚAN - Exploiting Selective Instruction Reuse and Value Prediction in a Superscalar Architecture, Journal of Systems Architecture, vol. 55, issues 3, pp. 188-195, ISSN 1383-7621, Elsevier, 2009, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/jsa2009.pdf • GELLERT A., PALERMO G., ZACCARIA V., FLOREA A., VINȚAN L., SILVANO C. – Energy-Performance Design Space Exploration in SMT Architectures Exploiting Selective Load Value Predictions, Design, Automation & Test in Europe International Conference (DATE 2010), March 8-12, 2010, Dresden, Germany, ISBN 978-3-9810801-6-2, pp. 271-274, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Date_2010.pdf

Page 4: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• Á. GELLÉRT, H. CALBOREAN, L. VIN ŢAN, A. FLOREA – Multi-Objective Optimisations for a Superscalar Architecture with Selective Value Prediction, IET Computers & Digital Techniques, United Kingdom, Vol. 6, Issue 4, pp. 205-213, ISSN: 1751-8601, 2012, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/CDT2012.pdf • JAHR R., CALBOREAN H., VINȚAN L. , UNGERER T. – Finding Near-Perfect Parameters for Hardware and Code Optimizations with Automatic Multi-Objective Design Space Explorations, Concurrency and Computation: Practice and Experience, doi: 10.1002/cpe.2975, Volume 27, Issue 9 (June 25), pp. 2196-2214, Print ISSN 1532-0626, Online ISSN: 1532-0634, John Wiley & Sons, 2015, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/CCPE.pdf (Q2/TR WoS) • RADU, MD. S. MAHBUB, L. VIN ȚAN – Developing Domain-Knowledge Evolutionary Algorithms for Network-on-Chip Application Mapping, Microprocessors and Microsystems, vol. 37, issue 1, pp. 65-78, ISSN: 0141-9331, Elsevier, February 2013. V. http://webspace.ulbsibiu.ro/lucian.vintan/html/Micro_2013.pdf • VINȚAN L. , CHIȘ R., MD. ALI ISMAIL, COȚOFANĂ C. – Improving Computing Systems Automatic Multi-Objective Optimization through Meta-Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, ISSN: 0278-0070, Volume 35, Issue 7, pp. 1125-1129, (DOI 10.1109/TCAD.2015.2501299), July 2016, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/TCAD.pdf (Q1/TR WoS) • CHIȘ R., FLOREA A., BUDULECI C., VINȚAN L. – Multi-Objective Optimization for an Enhanced Multi-Core SNIPER Simulator, Proceedings of The Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 19, Number 1, pp. 85-93, ISSN 1454-9069, Bucharest, January-March 2018, v. http://www.acad.ro/sectii2002/proceedings/doc2018-1/12.pdf (Q2 WoS cf. JCR 2016)

• Á. GELLÉRT, A. FLOREA, U. FIORE, P. ZANETTI, L. VIN ŢAN – Performance and Energy Optimisation in CPUs through Fuzzy Knowledge Representation, Information Sciences (Q1 WoS), Volume , ISSN: 0020-0255, DOI: 10.1016/j.ins.2018.03.029, Elsevier, 2018, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/IS_2018.pdf (Q1/ WoS cf. JCR 2016)

• GELLÉRT Á., VINȚAN L. – A Multicore Architecture with Selective Load Value Prediction, Proceedings of The Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 19, Number 4, ISSN 1454-9069, Bucharest, 2018. Disponibil online la http://www.acad.ro/sectii2002/proceedings/doc2018-4/art11.pdf, http://webspace.ulbsibiu.ro/lucian.vintan/html/Proc_Rom_Acad_2018.pdf. Revista este cotată Clarivate Analytics Web of Science, IF = 1,752, AIS=0,248, cf. JCR 2017 (publicat în iunie 2018), Q2 WoS cf. JCR 2017

Some of my most relevant publications in:

• developing (Neural Branch) Prediction Methods

Below you can have a look on my most important research papers related to these topics (all the papers are available online through the corresponding links).

• VINȚAN L. – Towards a High Performance Neural Branch Predictor, Proceedings of The International Joint Conference on Neural Networks - IJCNN ’99 (CD-ROM, ISBN 0-7803-5532-6; Abstract in IJCNN’99 Book of Summaries, art. 2106), pp. 868 – 873, vol. 2, Washington DC, USA, IEEE, 10-16 July 1999. Digital Object Identifier: 10.1109/IJCNN.1999.831066. V. http://webspace.ulbsibiu.ro/lucian.vintan/html/USA.pdf

Page 5: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• VINȚAN L., EGAN C. – Extending Correlation in Branch Prediction Schemes, Proceedings of the 25th Euromicro International Conference, Milano, Italy , 8-10 September, IEEE Computer Society Press, ISBN 0-7695-0321-7, 1999, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Milano.pdf • VINȚAN L. – Towards a Powerful Dynamic Branch Predictor, Romanian Journal of Information Science and Technology (ROMJIST), vol. 3, nr. 3, pg. 287-301, ISSN: 1453-8245, Romanian Academy, Bucharest, 2000, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Rom_JIST.pdf • EGAN C., STEVEN G., QUICK P., ANGUERA R., VINȚAN L. – Two-Level Branch Prediction using Neural Networks, Journal of Systems Architecture, vol. 49, issues 12-15, pp. 557-570, ISSN: 1383-7621, Elsevier, December 2003, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/JSA_2003.pdf • FLOREA A., VINȚAN L., MIHU I.Z. – Understanding and Predicting Indirect Branch Behavior, Studies in Informatics and Control, Vol. 13, No. 1, pg. 61-82, ISSN: 1220-1766, National Institute for Research and Development in Informatics, Bucharest, March 2004 (indexata IEE INSPEC, cotată ISI Thomson Journals); IF=0,605 in 2013 v. http://sic.ici.ro/?page_id=13, v. https://www.researchgate.net/publication/264708404_Understanding_and_Predicting_Indirect_Branch_Behavior • L. VINȚAN, A. GELLERT, A. FLOREA, M. OANCEA, C. EGAN – Understanding Prediction Limits through Unbiased Branches, Eleventh Asia-Pacific Computer Systems Architecture Conference, Shanghai 6-8th, September, 2006, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/LNCS.pdf • GELLERT, A. FLOREA, M. VINȚAN, C. EGAN, L. VIN ȚAN – Unbiased Branches: An Open Problem, The Twelfth Asia-Pacific Computer Systems Architecture Conference (ACSAC 2007), Seoul, Korea, August 23rd-25th, 2007, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/acsac2007.pdf • VINȚAN L . N., FLOREA A., GELLERT A. - Random Degrees of Unbiased Branches, Proceedings of The Romanian Academy, Series A: Mathematics, Physics, Technical Sciences, Information Science, Volume 9, Number 3, pp. 259 - 268, ISSN 1454-9069, Bucharest, 2008 - http://www.academiaromana.ro/sectii2002/proceedings/doc2008-3/13-Vințan.pdf

Some of my most relevant publications in:

• developing New Computer Architectures (C. A.) and Research Methods in C. A.

Below you can have a look on my most important research papers related to these topics (all the papers are available online through the corresponding links).

• STEVEN G., VINȚAN L. – Modelling Superscalar Pipelines with Finite State Machines, "Proceedings of the 22nd Euromicro’96 Conference. Beyond 2000: Hardware/Software Design Strategies", September 1996, Prague, Czech Republic, pp. 20-25, IEEE Computer Society Press, Los Alamitos, California, USA, ISBN 0-8186-7703-1, Library of Congress Number 96-79894, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Euro1996.pdf

• VINȚAN L., STEVEN G.B. – Memory Hierarchy Limitations in Multiple Instruction-Issue Processor Design, "Proceedings of the 23-rd Euromicro Conference. New Frontiers of Information Technology", September 1997, Budapest, Hungary, pp. 252-257, IEEE Computer Society Press, Los Alamitos, California, USA, 1997, ISBN 0-8186-8215-9, Library of Congress Number 97-81043, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/EuroMicro1997.pdf

• STEVEN F. L., POTTER R., STEVEN G. B., VINȚAN L . – Static Data Dependence Collapsing in a High Performance Superscalar Architecture, The 3-rd International

Page 6: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

Conference on Massively Parallel Computing Systems (MPCS ’98), Colorado Springs, USA., 6-9 April, 1998, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/MPCS1998.pdf

• VINȚAN L. , ARMAT C., STEVEN G. – The Impact of Cache Organisation on the Instruction Issue Rate of a Superscalar Processor, Proceedings of Euromicro 7-th Workshop on Parallel and Distributed Systems, pp. 58-65, ISBN 0-7695-0059-5, Funchal, Portugal, 3rd – 5th February, IEEE Computer Society Press, 1999, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Euro1999.pdf

• STEVEN G., EGAN C., SHIM W., VINȚAN L. – Applying Caching to Two-Level Adaptive Branch Prediction, Proceedings of the International Euromicro Conference DSD '2001, IEEE Computer Society Press, ISBN 0-7695-1239-9, Warsaw, Poland, September, 2001 (pg.186-193), v. http://webspace.ulbsibiu.ro/lucian.vintan/html/CACHINGI.PDF

Some of my most relevant publications in:

• developing Prediction Methods with IT Applications

Below you can have a look on my most important research papers related to these topics (all the papers are available online through the corresponding links).

• PETZOLD J., BAGCI F., TRUMLER W., UNGERER T., VINȚAN L. – Global State Context Prediction Techniques Applied to a Smart Office Building, 2004 Communication Networks and Distributed Systems Modelling and Simulation Conference (CNDS '04), San Diego, California, USA, January 18-21, 2004, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/Prediction_CNDS.pdf

• VINȚAN L., GELLERT A., UNGERER T., PETZOLD J. – Person Movement Prediction Using Neural Networks, KI 2004 Proceedings Workshop on Modelling and Retrieval of Context, University of Ulm, Germany, ISSN 1613-0073, September 20th -21st 2004, v. https://www.researchgate.net/profile/Lucian_Vintan/publication/228769110_Global_state_context_prediction_techniques_applied_to_a_smart_office_building/links/53e9d8a30cf2dc24b3cadce9/Global-state-context-prediction-techniques-applied-to-a-smart-office-building.pdf

• GELLERT A., VINȚAN L. – Person Movement Prediction Using Hidden Markov Models, Studies in Informatics and Control, Vol. 15, No. 1, pp. 17-30, ISSN: 1220-1766, National Institute for Research and Development in Informatics, Bucharest, March 2006 (indexata IEE INSPEC, cotata ISI Thomson Journals); IF=0,605 in 2013 v. http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.322.2127&rep=rep1&type=pdf

Some of my most relevant publications in:

• developing Text Mining Research Methods

Below you can have a look on my most important research papers related to these topics (all the papers are available online through the corresponding links).

• D. MORARIU, L. VIN ȚAN, V. TRESP – Feature Selection Methods for an Improved SVM Classifier, Enformatika Journal, Transactions on Engineering, Computing and Technology, vol. 14, August 2006, pp. 83-89, ISBN/ISSN 1305-5313, (World Enformatika Conference, 3rd International Conference on Intelligent Systems, ICIS 2006), Prague, Czech Republik, 2006, v. http://acaps.ulbsibiu.ro/papers/Morariu_Prag_Enf.pdf

• D. MORARIU, L. VIN ȚAN, V. TRESP – Evolutionary Feature Selection for Text Document using SVM, Proceedings of XV International Conference on Computer and Information Science and Engineering, pp. 215-221, October 22-24, 2006, Barcelona, Spain,

Page 7: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

CISE 2006, ISBN: 975-00803-4-3, v. https://waset.org/publications/15879/evolutionary-feature-selection-for-text-documents-using-the-svm

• D. MORARIU, L. VIN ȚAN, V. TRESP – Meta-classification using SVM classifiers for Text Document, Proceedings of XV International Conference on Computer and Information Science and Engineering, pp. 222-227, October 22-24, 2006, Barcelona, Spain, CISE 2006, ISBN: 975-00803-4-3, v. https://www.researchgate.net/publication/242580604_Meta-Classification_using_SVM_Classifiers_for_Text_Documents

• D. MORARIU, M. VINȚAN, L. N. VINȚAN – Aspects concerning SVM Method’s Scalability, Studies in Computational Intelligence (SCI). Advances in Intelligent and Distributed Computing, Volume 78, pp. 125-134, Springer-Verlag Berlin Heidelberg, ISSN 1860-949X, ISBN 978-3-540-74929-5, 2008, v. http://webspace.ulbsibiu.ro/lucian.vintan/html/sci.pdf

• MORARIU D., CRETULESCU R., VINȚAN L. – Improving a SVM Meta-classifier for Text Documents by using Naïve Bayes, International Journal of Computers, Communications & Control (IJCCC), Agora University Editing House - CCC Publications, ISSN 1841 – 9836, E-ISSN 1841-9844, Vol. 5, No. 3, pp. 351-361, 2010, v. http://univagora.ro/jour/index.php/ijccc/article/vi ewFile/2487/954

• CRETULESCU R., MORARIU D., VINȚAN L., COMAN I. D. – An Adaptive Meta-classifier for Text Documents, The 16th International Conference on Information Systems Analysis and Synthesis (ISAS 2010), vol. 2, pp. 372-377, ISBN-13: 978-1-934272-88-6, Orlando Florida, USA, April 6 th – 9th 2010, v. https://www.researchgate.net/publication/264702265_An_Adaptive_Meta-classifier_for_Text_Documents

• R. G. CREŢULESCU, D. I. MORARIU, M. BREAZU, L. N. VINŢAN – Weights Space Exploration using Genetic Algorithms for Meta-classifier in Text Document Classification, Studies in Informatics and Control, Vol. 21, Issue 2, pp. 147-154, ISSN: 1220-1766, National Institute for Research and Development in Informatics (ICI), Bucharest, 2012, v. https://sic.ici.ro/wp-content/uploads/2012/06/SIC_2012-2-Art4.pdf

• MORARIU D., CRETULESCU R., VINȚAN L. – Vector versus Tree Model Representation in Document Clustering, Romanian Journal of Information Science and Technology (ROMJIST), vol. 16, no. 1, pp. 81-102, ISSN: 1453-8245, Romanian Academy, Bucharest, 2013. Disponibil online la adresa: http://www.imt.ro/romjist/Volum16/Number16_1/pdf/06-LVin țan.pdf

• VINŢAN L. , MORARIU D., CREŢULESCU R., VINŢAN M. – An Extension of the VSM Documents Representation, International Journal of Computers, Communications & Control, ISSN 1841–9836, Vol. 12, Issue 3, pp. 403 - 414, June 2017, v. http://univagora.ro/jour/index.php/ijccc/article/vi ew/2889/pdf

A publicat 7 căr ți știin țifice (Editura Academiei Române, Editura Tehnică, Editura Matrix Rom, Editura Universității „Lucian Blaga” din Sibiu), dintre care două au fost redactate în limba engleză, fiind utilizate inclusiv în universități valoroase din străinătate. Dintre acestea se menționează următoarele:

1. VINŢAN N. LUCIAN – Arhitecturi de procesoare cu paralelism la nivelul instrucțiunilor , Editura Academiei Române, Bucureşti , 2000 (264 pg.), ISBN 973-27-0734-8 (Carte nominalizată la Premiile Academiei Române pentru anul 2000); “...această carte care abordează conceptele moderne de arhitectură ale microprocesoarelor este oportună şi necesară. [...] Ea este prima lucrare scrisă în limba română care îmbină creativ fundamentele arhitecturilor moderne de microprocesor cu evaluările de tip cantitativ obţinute prin simulări. [...] Această carte este o cercetare bibliografică la zi privind aspectele actuale ale arhitecturii microprocesoarelor

Page 8: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

întreţesută cu multe completări şi contribuţii originale, rodul unei munci a autorului în acest domeniu de peste un deceniu.” – Prof. univ. dr. ing. G. Toacşe, 1999, Prefaţa căr ţii

2. VINŢAN N. LUCIAN , FLOREA ADRIAN – Microarhitecturi de procesare a informaţiei, Editura Tehnică, Bucureşti , ISBN 973-31-1551-7, 2000 (312 pg.)

3. FLOREA ADRIAN, VINŢAN N. LUCIAN – Simularea şi optimizarea arhitecturilor de calcul în aplicaţii practice, Editura Matrix Rom, Bucure şti , ISBN 973-685-605-4, 2003 (443 pg. + CD atasat). A obţinut Premiul “ Tudor Tănăsescu” al Academiei Române pe anul 2003 (decernat la 23 decembrie 2005).

4. VINŢAN N. LUCIAN – Prediction Techniques in Advanced Computing Architectures (în limba engleza), Matrix Rom Publishing House, Bucharest, ISBN 978-973-755-137-5, 2007 (292 pg.) “Prof. Vinţan of University “Lucian Blaga” in Sibiu, Romania, paved the way for neural network predictors by his 1999 paper on the use of neural networks in dynamic branch prediction and is one of the leading scientists in this domain. […] Prof. Vinţan is one of the rare European scientists working in the research field of prediction techniques in computer architecture, but aiming also at „cross-fertilisation between computer architecture and other computer science fields”. […] It is the most comprehensive book written so far on prediction techniques in computer science. It will be mandatory as introduction as well as reference book for all researchers working on prediction techniques in computer science.” – Prof. univ. dr. doc. Theo Ungerer, Augsburg University, în Prefaţa căr ţii

5. VINŢAN N. LUCIAN – Fundamente ale arhitecturii microprocesoarelor, Editura Matrix Rom, Bucureşti , ISBN 978-606-25-0276-8, 2016 (547 pg.), v. http://www.astr.ro/publicatii/fundamente-ale-arhitecturii-microprocesoarelor; „Este un curs foarte bun, acoperind problematica largă a arhitecturii calculatoarelor la un nivel înalt, pe care puțini îl ating.“ – Prof. univ. dr. Sergiu Nedevschi, membru al Academiei Române; “[…] sincere și calde felicitări pentru monumentala carte [...] apreciez la superlativ abordarea riguroasă, de înaltă ținută științifică, a problemelor, atât pe orizontală, cât și pe verticală [...]” - Prof. univ. dr. Mircea Vlăduțiu, U. P. Timișoara

A finalizat, în calitate de director de proiect, următoarele granturi de cercetare știin țifică obținute prin competiții:

1. VINȚAN L . (director grant) – Sistem automat de evaluare și optimizare a arhitecturilor paralele de tip ILP, Grant CNCSU, Tema 4, 391/1998 – 10 milioane lei

2. VINȚAN L. (director grant) - Cercetări privind interfața procesor- memorie și predicția ramificațiilor într-o arhitectură de calcul cu paralelism la nivelul instrucțiunilor (Raport stiintific), Grant M.C.T. - 4086/26.11.1998 - 22 mil. lei

3. VINȚAN L. (director grant) - Cercetări privind conceptul novator de predictor neural de ramificații, integrabil in procesoarele paralele, Grant CNCSIS, cod 489/1999 – 8 mil. lei

Page 9: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

4. VINȚAN L. (director grant) – Cercetare critică privind predictia ramificatiilor; evaluări cantitative pe baza de simulare, Grant M.C.T.- 4086/26.11.1998 (continuare grant poz. 2 pe 1999) – 35 mil. lei

5. VINȚAN L. (director grant) – Investigații arhitecturale cu privire la modelarea și simularea unor concepte novatoare de procesare, implementabile în microprocesoarele avansate (Raport științific - Bibl.ULBS), Grant CNCSIS, cod 8/2000 – 25 mil. lei

6. VINȚAN L. (director grant) – Abordari integratoare in arhitecturile de procesare cu paralelism la nivel de instructiuni (I), Raport stiintific, Grant ANSTI – 6229 (B18) / 10.11.2000 - 25 mil. lei

7. VINȚAN L. (director grant) – Cercetari cu privire la elaborarea unei arhitecturi neconventionale de procesare de mare performanta, Grant CNCSIS nr.34965, cod 8 / 2001 – 40,05 mil. lei (propunerea a fost evaluată cu 92.66/100 puncte)

8. VINȚAN L. (director grant) – Abordari integratoare in arhitecturile de procesare cu paralelism la nivel de instructiuni (II), Grant ANSTI 6229, Continuare Grant 12/05.06.2001 (v. poz. 6) - 30 mil. lei

9. VINȚAN L. (director grant) – Îmbunătățiri ale paradigmei arhitecturilor superscalare prin reutilizarea si predictia valorilor instructiunilor, Grant CNCSIS cod 71/2004 – 2006 (3 ani) – 140 mil. lei + 120 mil. lei + 100 mil. lei = 360 mil. lei

10. VINȚAN L. (director grant) – Microarhitectura superscalara avansata cu procesari paralele si predictiv - speculative, Grant CNCSIS tip A, cod 39, 2007/2008 (2 ani), 174.472 RON (propunerea a fost clasată pe locul 4/740 în domeniul “știin țe inginerești”, fiind clasat ă prima în sub-domeniul “Calculatoare și tehnologia informației”, cu 96.33/100 puncte) – Raport final la http://194.102.64.7/GranturiFinalizate/faces/Projects/ProjectsList.jsp; http://uefiscdi.gov.ro/userfiles/file/granturi/2007/proces%20de%20evaluare%20-%20tip%20A%202007/COMISIA_2_A_NOI_FINANTATE.htm

11. A. Gellert (director grant), Metode avansate de predicţie integrate în arhitecturi cu procesări speculative, Grant CNCSIS tip TD (tineri doctoranzi), cod 248, 2007/2008, 28.428 lei. (În calitate de conducător de doctorat al directorului de proiect, prof. Vințan a participat la inițierea și elaborarea acestui grant, focalizat pe tema sa de doctorat, prin activitati de consultanță și control științific etc.)

12. D. Morariu (director grant), L. VIN ȚAN (“conducător de stagiu postdoctorat”, “mentor”) - Sistem de clasificare automata a datelor nestructurate folosind metaclasificatoare bazate pe metode de tip Support Vector Machine si Naive Bayes, Grant CNCSIS tip PD nr. 27/04.08.2010, 08.2010 - 07.2012, buget 130.540 lei (2010- 29.000 lei, 2011 – 63.040 lei, 2012 – 38.500 lei)

Page 10: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

13. VINȚAN L. - Bursa individuală European Commission TEMPUS IMG-95-RO-2011, desfășurată la Universitatea Hertfordshire, Department of Computer Science, U.K. (England), în perioada martie-mai 1996 (3 luni), pe baza invitatiei acestei universitati (laboratorul de arhitecturi de calcul avansate coordonat de prof.dr. Gordon B. Steven)

14. VINȚAN L. (director general grant european) - în perioada 1998-2000 a fost coordonator general al proiectului internațional EC TEMPUS JEP AC 13559/1998 ("Retraining Support for Small and Medium Enterprises"), în valoare de peste 200.000 ECU-Euro (cca. 70.000 Euro la ULB Sibiu), în colaborare cu universități din: București ("Politehnica"), Brașov ("Transilvania"), Anglia (De Montfort, Leicester), Irlanda (Galway), Spania (Autonoma Barcelona) și Germania (Karlsruhe).

A îndrumat 7 teze de doctorat finalizate cu succes (una în co-tutelă cu Universitatea din Augsburg, Germania – prof. dr. T. Ungerer, alta în colaborare cu Siemens AG CT IC Munchen – prof. dr. V. Tresp, prof. dr.h.c. H. Raffler – vicepreședinte Siemens).

0. Adrian Florea (conducere informală, de facto, nu și de jure), Cresterea performantei arhitecturilor de calcul cu paralelism la nivelul instructiunilor prin metode predictive, Universitatea Politehnica București, 16.06.2005, 2005 (conducator științific oficial: Prof. dr. ing. Mircea Petrescu, subsemnatul fiind dpdv oficial referent științific)

1. Daniel I. Morariu , Contributions to Automatic Knowledge Extraction from Unstructured Data (Contribuţii la extragerea automată de cunoştinţe din masive de date), PhD Thesis (in English), “Lucian Blaga” University of Sibiu, 20 aprilie, 2007 (conducător știin țific: prof. univ. dr. ing. Lucian Vin țan); The Ph.D. program was partially supported from both scientific and financial point of view by the SIEMENS Corporate Technology from Munich (Contact persons: Vice-President Prof. Dr.H.C. Hartmut Raffler, Prof. Dr. Volker Tresp). Comisia de doctorat: profesorii S. Nedevschi, m. AR – UT Cluj-Napoca, N. Țăpuș – UP București, V. Crețu – UP Timișoara.

2. Árpad Gellért , Advanced Prediction Methods Integrated into Speculative Computer Architectures (Metode avansate de predicţie integrate în arhitecturi cu procesări speculative), PhD Thesis (in English, Cum Laudae), “Lucian Blaga” University of Sibiu, 14 noiembrie, 2008 (conducător știin țific: prof. univ. dr. ing. Lucian Vin țan; co-tutela cu prof. univ. dr. doc. Theo Ungerer, Universitatea din Augsburg, Germania). Comisia de doctorat: profesorii S. Nedevschi, m. AR – UT Cluj-Napoca, N. Țăpuș – UP București, V. Crețu – UP Timișoara.

3. Radu Creţulescu, Contribuţii la proiectarea sistemelor de clasificare a documentelor, Teză de doctorat, Universitatea “L. Blaga” din Sibiu, 4 noiembrie 2011 (conducator stiintific: prof. univ. dr. ing. Lucian Vin țan). Comisia de doctorat: prof. S. Nedevschi, m. AR – UT Cluj-Napoca, prof. C. Bădică – U Craiova, conf. M. Breazu – ULB Sibiu.

4. Horia Calborean, Multi-Objective Optimization of Advanced Computer Architectures using Domain-Knowledge (Optimizarea multi-obiectiv a unor arhitecturi avansate de calcul utilizând cunoştinţe de domeniu), PhD Thesis (in English), “L. Blaga” University of Sibiu, November 25th 2011 (conducător știin țific: prof. univ. dr. ing. Lucian Vin țan). Doctorand la zi,

Page 11: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

cu frecventa, finantat prin program POSDRU 7706/2007-2013. Comisia de doctorat: profesorii N. Țăpuș – UP București, V. Cristea – UP București, M. Popa – UP Timișoara.

5. Ciprian Radu , Optimized Algorithms for Network-on-Chip Application Mapping (Algoritmi optimizaţi pentru maparea aplicaţiilor paralele pe arhitecturi de tipul Network-on-Chip), PhD Thesis (in English), “L. Blaga” University of Sibiu, November 25th 2011 (conducător știin țific: prof. univ. dr. ing. Lucian Vin țan). Doctorand la zi, cu frecventa, finantat prin program POSDRU 7706/2007-2013. Comisia de doctorat: profesorii N. Țăpuș – UP București, V. Cristea – UP București, M. Popa – UP Timișoara.

6. Radu Chiș, Developing Effective Multi-Objective Optimization Methods for Complex Computing Systems” (Dezvoltarea unor metode eficiente de optimizare multi-obiectiv, destinate sistemelor de calcul complexe), PhD Thesis (in English), “L. Blaga” University of Sibiu, September 28th 2017 (conducător știin țific: prof. univ. dr. ing. Lucian Vin țan). Comisia de doctorat: profesorii S. Nedevschi, m. AR – UT Cluj-Napoca, E. Slușanschi – UP București, M. Micea – UP Timișoara.

7. Ion Dan Mironescu, Sistem integrat pentru maparea cvasi-optimală a unor aplicații științifice pe arhitecturi HPC parametrizabile, Teză de doctorat, Universitatea “L. Blaga” din Sibiu, 19 Septembrie, 2018, calificativ: foarte bine (conducător știin țific: prof. univ. dr. ing. Lucian Vin țan). Comisia de doctorat: profesorii Mihai Mocanu – Universitatea din Craiova, Sorin Moraru – Universitatea “Transilvania” din Brașov, Adrian Florea – Universitatea “L. Blaga” din Sibiu.

Premii, distincții, onoruri

• A obținut titlul onorific de Visiting Research Fellow de la University of Hertfordshire, Marea Britanie (2002) „ca recunoaștere a activităților comune de cercetare științifică” (cf. Prof. J. M. Senior, PhD, Dean of the Faculty of Engineering and Information Sciences, UH).

• În anul 2005 i s-a acordat Premiul “ Tudor Tănăsescu” al Academiei Române, pentru o monografie științifică publicată în anul 2003.

• În anul 2005 a fost ales membru corespondent, iar în anul 2012 membru titular al Academiei de Știin țe Tehnice din România – v. http://www.astr.ro/prof-univ-dr-ing-lucian-nicolae-vintan/.

• Din anul 2005 este expert activ al Comisiei Europene în domeniul sistemelor de calcul, fiind implicat în evaluarea și monitorizarea a zeci de proiecte europene de cercetare științifică. (Reviewer of FP6/FP7 NoE HiPEAC 1 & 2 Research Project 2004 - 2012. Review Meetings at: L'Aquila, Italy, July 2005 and 2006; University Politecnica Catalunya of Barcelona, Spain, November 2005 and 2007, March 2009; Gent University, Belgium, November 2006; EC Brussels June 2007, October 2008, June 2010 and May 2011; Paphos, Cyprus, January 2009; Munich, Germany, June 2009; Pisa, Italy, January 2010; Heraklion, Crete, January 2011; Goteborg, Sweden, April 2012. Also I worked as an evaluator in FP7-ICT-Call-1, STREPs Evaluation, Computing Systems, June 18-22, 2007, EC Brussels; FP7-ICT Evaluation, Computing Systems, May 25-29, 2009, EC Brussels; FP7-FET Open Evaluation, July 6-10, 2009, EC Brussels; FP7-ICT Call 7 Objective 3.4 - Computing Systems Evaluation, March 7-12, 2011, EC Brussels; FP7-ICT-2013.3.4 Advanced computing, embedded and control systems, February 25-March 1, 2013, EC Brussels; H2020-FETOPEN-2014 Research and Innovation Actions, November 2014 (Remote Eval.); H2020-FETOPEN-2014-2015-RIA_31-03-2015, May 2015 (Remote Eval.), HORIZON 2020 FET-OPEN - NOVEL IDEAS FOR RADICALLY NEW TECHNOLOGIES, October 2015, EC Brussels (I was Vice-Chair), etc.)

Page 12: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• Din anul 2006 până în prezent (iulie 2016) este membru în Comisia de “Calculatoare, tehnologia informației și ingineria sistemelor” din cadrul “Consiliului Nat ional de Atestare a Titlurilor, Diplomelor si Certificatelor Universita re” (CNATDCU ).

• A obținut premiul Best Paper Award la Conferința IEEE RoEduNet 2010.

• De asemenea, i s-a acordat diploma de excelență “în semn de prețuire pentru contribuția adusă la dezvoltarea domeniului de Calculatoare și tehnologia informației”, de la Universitatea “Politehnica” din Bucure ști, Facultatea de Automatică și Calculatoare, 2014.

• Membru al juriului interna țional, într-o companie de mare prestigiu (ex. Prof. Yale Patt - Eckert Mauchly Award ), la competiția Branch Prediction Championship 2011 organizată de compania INTEL, în cadrul conferinței ISCA-2011 (cea mai prestigioasă conferință, la nivel mondial, în domeniul arhitecturilor de calcul)

• Din anul 2012 este membru al European Network of Excellence on High Performance and Embedded Architecture and Compilation (HiPEAC) – v. https://www.hipeac.net/~lucian.vintan/.

• A fost membru al comitetelor știin țifice de program a peste 160 conferințe internaționale, în această calitate realizând sute de recenzii.

• A primit câteva distincții și medalii, în semn de recunoaștere a activității sale profesionale, de la ULB Sibiu (ex. "pentru competență academică deosebită și servicii excepționale aduse" – Rector prof. D. Ciocoi-Pop, 1999).

• În 2014, Alianța Națională a Organizațiilor Studențești din România i-a acordat titlul de “Profesor Bologna”.

• International Doctoral Committee Member (professors from The Netherlands, France, Spain and Romania), Delft University of Technology (Technische Universiteit Delft), The Netherlands (November 2018). Picture 1 Picture 2 Picture 3

În continuare, se prezintă câteva aprecieri publice asupra activității sale profesionale, făcute de personalități știin țifice de certă recunoaștere internațională:

• Dr. Daniel Jimenez (Rutgers University, USA) wrote in one of his scientific papers (MICRO-36 Conference, 2003): "Dynamic branch prediction with neural methods was first proposed by Vin țan."

• Dr. Alan Fern et al. (Purdue University, USA) wrote in another scientific paper (Journal of Systems Architecture, Elsevier, 2006): "[...] prior to our original Dynamic Decision Tree Predictor there was only one such proposal [Vin țan]."

• Dr. David Tarjan & Dr. Kevin Skadron (Virginia Univ ersity, USA) wrote in their paper (ACM Transactions on Architecture and Code Optimization , 2005): " The idea of the neural branch predictor was originally introduced by Vin țan."

• Dr. M. Monchiero & G. Palermo (Politechnica di Milano): "Branch predictors based on neural methods have been recently studied [Vin țan 99], [Jimenez 02,03], showing that they are the most accurate predictor in the literature."”( LNCS, 2005)

• Dr. M. Aamer et al (University of Pennsylvania, TR 2005): “In this paper we detail and implement the pre-computed branch prediction algorithm described in [Vin țan et al].”

Page 13: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• Prof. dr. hab. Theo Ungerer (University of Augsburg, Germany, Report, 2002 & Preface to my book, 2007): " Prof. Vin țan is one of the rare European scientist working in the research field of prediction techniques in computer architecture [...] his neural branch predictor is well-known in the research community."

• Prof. dr. Gordon Steven (University of Hertfordshire, UK, Report, 2002): "I have always been extremely impressed by Prof. Vin țan's novel research ideas and by the very large amount of research he manages to successfully undertake."

• " I am pleased to confirm the title of Visiting Research Fellow. The title is intended to recognise your ongoing collaboration with our research activities" - Prof. J. M. Senior, Dean of the Faculty of Engineering and Information Sciences, University of Hertfordshire, UK (April 2003)

• Authors from ( INTEL CO, USA, Symposium on HPCA, 2004): „Perceptrons have been proposed earlier for branch prediction [Vin țan]…”

• Dr. Colin Egan (University of Hertfordshire, UK, in Journal of Systems Architecture, 2003, Elsevier): "The first known perceptron branch predictor was developed by Vin țan."

• Culpepper B., Gondree M. (University of California, UC Davis, USA): "There is a growing trend among researchers to apply machine learning techniques to the problem of branch prediction, starting with Vin țan ." (Techn. Rep. 2005)

• Amilcar Arfel Molina D'iaz (University Politecnica Catalunya, TR 2005, Barcelona): "La idea de este perceptron fue introducida originalmente por Vin țan." ( Cited Paper, 2005; see above)

• Dr. Jan Petzold (University of Augsburg, Germany, PhD Thesis, 2005): "Prof. dr. Lucian Vin țan danke ich fur die Zusammen arbeit und die vielen hilfreichen Diskussionen wahrend und nach seines Aufenthaltes in Augsburg."

• Dr. Veerle Desmet (Gent University, Belgium, PhD Thesis, 2006): " Vin țan first proposed dynamic branch prediction based on neural networks."

• J. Singer et al. (Workshop on Statistical and Machine Learning Approaches Applied to Architectures and Compilation, 2007): " Vin țan pioneers the idea of using perceptrons for branch prediction"

• Professors J. Silc, T. Ungerer, B. Robic (International Journal on High Performance Systems Architecture, vol. 1, no. 1, 2007): "The first dynamic neural branch predictors were proposed by Vin țan"

• Dr. M. Black ( Applying Perceptrons to Speculation in Computer Architecture- Neural Networks in Future Microprocessors, Vdm Verlag, 2007): " It is important to note that this (my note: referring to Jimenez's paper published in 2000) is not the very first neural branch predictor proposed. Two neural approaches were proposed in a paper by Vin țan in 1999."

• Dr. Pan et al (Northwestern Polytechnical University, Xi’an, China, ACSAC 2007): “These years, some new methods are introduced such as Lucian N. Vin țan’s pre-computed branches”

• Dr. A. Seznec (Journal of ILP 9, USA, 2007): "The introduction of the neural based branch predictors [Vin țan, Jimenez] provided a solution for effectively combining several predictions."

• O. Kirby (TR, Canada, 2007): "The earliest proposal for using neural networks to predict branches we are aware of was by Vin țan."

Page 14: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• V. Uzelac (MSc Thesis, USA, 2009): "Neural branch prediction is first proposed by Vin țan. Vințan considers branch prediction as a particular problem in a broader class of pattern recognition problems that can be solved by neural networks."

• Dr. S. Verma (PhD Thesis, Louisiana State University, USA, December 2011): „The idea of neural branch prediction was originally introduced by Vin țan”

• Dr. D. Jimenez (University of Texas at San Antonio, USA: An Optimized Scaled Neural Branch Predictor, Paper IEEE ICCD 2011 Conference): “The SNP/SNAP (Scaled Neural Analog Predictor) predictor is based on neural branch prediction, a technique introduced by Vin țan [21] and refined by Jimenez et al. [8].”

• Dr. Erich Bruns, Prof. Oliver Bimber (IEEE Pervasive Computing, pp. 74-81, April-June 2012): “ Lucian Vin țan and his colleagues introduced an approach for predicting person movement by applying neural networks.”

• Professor Emeritus Lotfi A. Zadeh (University of California, Berkeley, USA, September 23-rd 2012, personal e-mail message): “Your work [ Vințan] appears to be very interesting.”

• Wikipedia: “Machine learning for branch prediction using LVQ and multi-layer perceptrons, called "neural branch prediction", was proposed by Prof. Lucian Vin țan (Lucian Blaga University of Sibiu).”

• The Meltdown paper authors – this famous paper (2018) is available online at https://meltdownattack.com/meltdown.pdf – cited my IJCNN 1999 paper that introduces the fertile idea of neural branch prediction. They wrote that “More recently, ideas to use neural branch prediction [34, (– my paper!) 18, 32] have been picked up and integrated into CPU architectures [3].” In their also famous Spectre paper (2018), available online at https://spectreattack.com/spectre.pdf, the authors wrote that neural branch predictors involve „even more complex speculative behavior.” It is well-known that the authors of these two papers and, independently, some Google researchers (see https://googleprojectzero.blogspot.ro/2018/01/reading-privileged-memory-with-side.html) have discovered some commercial processors bugs related to the subtle complex interaction between out of order and speculative instructions execution, caches and virtual memory protection mechanism.

• Dr. Michaud P. (ACM Transactions on Architecture and Code Optimizations, 2018) „In 1999-2000, two research teams, independently, started exploring the use of artificial neural networks for branch prediction [Vin țan, Jimenez].”

Page 15: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

Detalii asupra activității sale profesionale pot fi găsite la URL: http://csac.ulbsibiu.ro/cv.php?q=4, http://www.astr.ro/prof-univ-dr-ing-lucian-nicolae-vintan/, http://webspace.ulbsibiu.ro/lucian.vintan/html/, https://www.hipeac.net/~lucian.vintan/.

20.05.2018, Sibiu

Appendix

Predicția neuronală a instrucțiunilor de ramifica ție (Neural Branch Prediction). Impact academic și industrial

Page 16: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

Prof. univ. dr. ing. Lucian VINȚAN este unul dintre pionierii introducerii unor metode de învățare automată, logici fuzzy, teoria informației, rețele Petri, metode de optimizare etc., în cercetarea sistemelor de calcul. Are și contribuții în domeniul text-mining.

În anul 1999 el a propus, în premieră mondială, conceptul de predictor neuronal pentru instrucțiunile de ramificație (branches), implementabil - și ulterior implementat - în microprocesoarele comerciale avansate4 , într-o lucrare având peste 70 de citări în reviste/conferințe știin țifice internaționale de prestigiu, până în anul 2017. Au urmat alte câteva lucrări publicate care au dezvoltat această idee (spre ex., predictorul de branch-uri de tip perceptron, propus de prof. Vințan în anul 2000 – v. articolul disponibil online la http://webspace.ulbsibiu.ro/lucian.vintan/html/Rom_JIST.pdf). Aceste lucrări de pionierat au produs un mare interes în comunitatea arhitecților de calculatoare, fiind dezvoltate și rafinate de mulți cercetători din străinătate. Ulterior, predic ția neuronală a branch-urilor a fost implementată în microprocesoare comerciale avansate precum Oracle Sparc T4-4 (2011), AMD Bulldozer (2011), AMD Piledriver (2012), AMD Bobcat/Jaguar (2014), Samsung Exynos M1 (2016), AMD Zen (2016/2017) și AMD Ryzen (2017) etc. Spre exemplu, toate telefoanele mobile inteligente de tipul Samsung Galaxy S7 și S7 Edge, sau ulterioare acestora (S8, S9 etc.), utilizează microprocesorul Samsung Exynos M1 (nume de cod Mongoose) care deține un predictor neuronal de branch-uri, dovedind fertilit atea acestui concept (a se vedea articolul disponibil online la https://translate.google.ro/translate?hl=ro&sl=ru&tl=en&u=https%3A%2F%2Fgeektimes.ru%2Fpost%2F279738%2F - citare contribuție inițială a prof. Lucian Vințan). Doar câteva articole de prestigiu internațional, în sprijinul dovedirii acestor afirma ții, sunt cele citate în continuare:

1. WILLIAMS C., Neural network spotted deep inside Samsung's Galaxy S7 silicon brain, The Register, 22 August 2016, online available at https://www.theregister.co.uk/2016/08/22/samsung_m1_core/ (accessed on 7th August 2018)

2. ORACLE, http://www.oracle.com/us/products/servers-storage/servers/sparc-enterprise/t-series/sparc-t4-4-faq-496527.pdf (accessed on 7th August 2018)

3. WALTON J., The AMD Trinity Review (A10-4600M): A New Hope, AnandTech, May 15-th 2012, online available at https://www.anandtech.com/show/5831/amd-trinity-review-a10-4600m-a-new-hope (accessed on 7th August 2018)

4. DAVE J., AMD Ryzen reviews, news, performance, pricing, and availability, PCGamesN, 2017-12-06, online available at https://www.pcgamesn.com/amd/amd-ryzen-release-date-specs-prices-rumours (accessed on 7th August 2018)

5. FOG A., The Microarchitecture of Intel, AMD, and VIA CPUs, available online at http://www.agner.org/optimize/microarchitecture.pdf, 2014

6. SHAH M., et al., Sparc t4: A dynamically threaded server-on-a-chip, IEEE Micro, vol. 32, no. 2, pp. 8–19, 2012

Compania Intel nu a recunoscut oficial, cel puțin până în anul 2017, implementarea predictoarelor neuronale de branch-uri în microprocesoarele sale comerciale, dar există motive indirecte justificate să credem că deja a facut-o. În schimb, Intel a recunoscut implementarea unor astfel de predictoare în simulatoarele microprocesorului Intel IA-64, încă din anul 2002 (v. articolul științific: BREKELBAUM E., RUPLEY J., WILKERSON C., BLACK B., Hierarchical scheduling windows, Proceedings of the 34-th International Symposium on Microarchitecture, Istanbul, Turkey, December 2002).

Foarte interesant, predictoare neuronale de branch-uri, implementate în microprocesoarele low power ARM CortexM, au fost implantate în cerebelul unor șoareci pentru a le predicționa 4 Vințan L., Towards a High Performance Neural Branch Predictor, Proceedings of The International Joint Conference

on Neural Networks, Washington DC, 1999

Page 17: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

/ monitoriza in vivo activitatea unor neuroni specifici (Purkinji ), în scopul tratării unor boli neuro-psihice la oameni, precum cele referitoare la dezechilibre motorii, probleme de învățare, halucinații, psihoze, epilepsie etc. Activitatea fiecărui neuron de tip Purkinji din cerebel este predicționată prin intermediul unui predictor neuronal de branch-uri, dedicat acestui scop. A se vedea în acest sens articolul următor, care citează contribuțiile de pionierat ale profesorului Vințan în dezvoltarea predictoarelor neuronale de branch-uri: Bhattacharjee A., Using Branch Predictors to Predict Brain Activity in Brain-Machine Implants, Proceedings of MICRO-50, Cambridge, MA, USA, October 14–18, 2017, v. https://www.cs.rutgers.edu/~abhib/abhib-micro17.pdf.

Profesorul Vințan a dezvoltat și a publicat metode adaptive de procesare predictiv-speculativă, implementabile în arhitecturile performante de calcul. În efortul de dezvoltare a unor metode de optimizare a sistemelor de calcul, a îmbunătățit calitatea și convergența unor algoritmi genetici multi-obiectiv, prin cunoștințe specifice de domeniu, exprimate inclusiv sub forma unor reguli în logici fuzzy (spre ex. lucrarea clasificată Q1 Web of Science, intitulată: Performance and Energy Optimisation in CPUs through Fuzzy Knowledge Representation, Information Sciences, ISSN: 0020-0255, DOI: 10.1016/j.ins.2018.03.029, Elsevier, 2018). În acest demers a publicat o serie de articole științifice fertile, în reviste de incontestabilă valoare internațională, unele clasate în categoriile Q1/Q2 WoS (Wiley5, Elsevier, IET-UK etc.) De asemenea, în anul 2016 a dezvoltat o metodă originală de meta-optimizare pentru sisteme complexe de calcul, publicată într-o revistă din elitista serie IEEE Transactions6.

În continuare, se prezintă câteva aprecieri publice asupra activității sale profesionale, făcute de personalități știin țifice de certă recunoaștere internațională:

• Dr. Daniel Jimenez (Rutgers University, USA) wrote in one of his scientific papers (MICRO-36 Conference, 2003): "Dynamic branch prediction with neural methods was first proposed by Vin țan."

• Dr. Alan Fern et al. (Purdue University, USA) wrote in another scientific paper (Journal of Systems Architecture, Elsevier, 2006): "[...] prior to our original Dynamic Decision Tree Predictor there was only one such proposal [Vin țan]."

• Dr. David Tarjan & Dr. Kevin Skadron (Virginia Univ ersity, USA) wrote in their paper (ACM Transactions on Architecture and Code Optimization , 2005): " The idea of the neural branch predictor was originally introduced by Vin țan."

• Dr. M. Monchiero & G. Palermo (Politechnica di Milano): "Branch predictors based on neural methods have been recently studied [Vin țan 99], [Jimenez 02,03], showing that they are the most accurate predictor in the literature."”( LNCS, 2005)

• Dr. M. Aamer et al (University of Pennsylvania, TR 2005): “In this paper we detail and implement the pre-computed branch prediction algorithm described in [Vin țan et al].”

• Prof. dr. hab. Theo Ungerer (University of Augsburg, Germany, Report, 2002 & Preface to my book, 2007): " Prof. Vin țan is one of the rare European scientist working in the research field of prediction techniques in computer architecture [...] his neural branch predictor is well-known in the research community."

• Prof. dr. Gordon Steven (University of Hertfordshire, UK, Report, 2002): "I have always been extremely impressed by Prof. Vin țan's novel research ideas and by the very large amount of research he manages to successfully undertake."

5 Jahr R., Vințan L., et al., Finding Near-Perfect Parameters for Hardware and Code Optimizations with Automatic Multi-Objective Design Space Explorations, Concurrency and Computation: Practice and Experience, Vol. 27, Issue 9, John Wiley & Sons, 2015 6 Vințan L., et al., Improving Computing Systems Automatic Multi-Objective Optimization through Meta-Optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 35, Issue 7, 2016

Page 18: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• " I am pleased to confirm the title of Visiting Research Fellow. The title is intended to recognise your ongoing collaboration with our research activities" - Prof. J. M. Senior, Dean of the Faculty of Engineering and Information Sciences, University of Hertfordshire, UK (April 2003)

• Authors from ( INTEL CO, USA, Symposium on HPCA, 2004): „Perceptrons have been proposed earlier for branch prediction [Vin țan]…”

• Dr. Colin Egan (University of Hertfordshire, UK, in Journal of Systems Architecture, 2003, Elsevier): "The first known perceptron branch predictor was developed by Vin țan."

• Culpepper B., Gondree M. (University of California, UC Davis, USA): "There is a growing trend among researchers to apply machine learning techniques to the problem of branch prediction, starting with Vin țan ." (Techn. Rep. 2005)

• Amilcar Arfel Molina D'iaz (University Politecnica Catalunya, TR 2005, Barcelona): "La idea de este perceptron fue introducida originalmente por Vin țan." ( Cited Paper, 2005; see above)

• Dr. Jan Petzold (University of Augsburg, Germany, PhD Thesis, 2005): "Prof. dr. Lucian Vin țan danke ich fur die Zusammen arbeit und die vielen hilfreichen Diskussionen wahrend und nach seines Aufenthaltes in Augsburg."

• Dr. Veerle Desmet (Gent University, Belgium, PhD Thesis, 2006): " Vin țan first proposed dynamic branch prediction based on neural networks."

• J. Singer et al. (Workshop on Statistical and Machine Learning Approaches Applied to Architectures and Compilation, 2007): " Vin țan pioneers the idea of using perceptrons for branch prediction"

• Professors J. Silc, T. Ungerer, B. Robic (International Journal on High Performance Systems Architecture, vol. 1, no. 1, 2007): "The first dynamic neural branch predictors were proposed by Vin țan"

• Dr. M. Black ( Applying Perceptrons to Speculation in Computer Architecture- Neural Networks in Future Microprocessors, Vdm Verlag, 2007): " It is important to note that this (my note: referring to Jimenez's paper published in 2000) is not the very first neural branch predictor proposed. Two neural approaches were proposed in a paper by Vin țan in 1999."

• Dr. Pan et al (Northwestern Polytechnical University, Xi’an, China, ACSAC 2007): “These years, some new methods are introduced such as Lucian N. Vin țan’s pre-computed branches”

• Dr. A. Seznec (Journal of ILP 9, USA, 2007): "The introduction of the neural based branch predictors [Vin țan, Jimenez] provided a solution for effectively combining several predictions."

• O. Kirby (TR, Canada, 2007): "The earliest proposal for using neural networks to predict branches we are aware of was by Vin țan."

• V. Uzelac (MSc Thesis, USA, 2009): "Neural branch prediction is first proposed by Vin țan. Vințan considers branch prediction as a particular problem in a broader class of pattern recognition problems that can be solved by neural networks."

• Dr. S. Verma (PhD Thesis, Louisiana State University, USA, December 2011): „The idea of neural branch prediction was originally introduced by Vin țan”

• Dr. D. Jimenez (University of Texas at San Antonio, USA: An Optimized Scaled Neural Branch Predictor, Paper IEEE ICCD 2011 Conference): “The SNP/SNAP (Scaled Neural Analog Predictor) predictor is based on neural branch prediction, a technique introduced by Vin țan [21] and refined by Jimenez et al. [8].”

Page 19: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• Dr. Erich Bruns, Prof. Oliver Bimber (IEEE Pervasive Computing, pp. 74-81, April-June 2012): “ Lucian Vin țan and his colleagues introduced an approach for predicting person movement by applying neural networks.”

• Professor Emeritus Lotfi A. Zadeh (University of California, Berkeley, USA, September 23-rd 2012, personal e-mail message): “Your work [ Vințan] appears to be very interesting.”

• Wikipedia: “Machine learning for branch prediction using LVQ and multi-layer perceptrons, called "neural branch prediction", was proposed by Prof. Lucian Vințan (Lucian Blaga University of Sibiu).”

• The Meltdown paper authors – this famous paper (2018) is available online at https://meltdownattack.com/meltdown.pdf – cited my IJCNN 1999 paper that introduces the fertile idea of neural branch prediction. They wrote that “More recently, ideas to use neural branch prediction [34, (– my paper!) 18, 32] have been picked up and integrated into CPU architectures [3].” In their also famous Spectre paper (2018), available online at https://spectreattack.com/spectre.pdf, the authors wrote that neural branch predictors involve „even more complex speculative behavior.” It is well-known that the authors of these two papers and, independently, some Google researchers (see https://googleprojectzero.blogspot.ro/2018/01/reading-privileged-memory-with-side.html) have discovered some commercial processors bugs related to the subtle complex interaction between out of order and speculative instructions execution, caches and virtual memory protection mechanism.

• Dr. Michaud P. (ACM Transactions on Architecture and Code Optimizations, 2018) „In 1999-2000, two research teams, independently, started exploring the use of artificial neural networks for branch prediction [Vin țan, Jimenez].”

De asemenea, mai jos, câteva aprecieri asupra ideii profesorului Vințan referitoare la predicția neuronală a instrucțiunilor de ramificație, venite din partea unor personalități științifice de renume național și internațional:

• „Congratulations! You deserve this recognition.” ”You did very good pioneer contribution with your branch predictor... you should be very proud of you! ” – Prof. Mateo Valero (IEEE/ACM Eckert-Mauchly Award), U.P.C. Barcelona & Barcelona Supercomputing Center, Spain

• „You can be proud that you have contributed to efforts that had real impact.” – Prof. Douglas Comer (ACM Fellow), Purdue University, USA

• “Congrats with this great achievement!” – Prof. Rainer Leupers, RWTH Aachen University, Germany

• “I'd like to congratulate you for the significant industrial application of your proposed solution.” - Prof. Cristina Silvano (IEEE Fellow), Politecnico di Milano, Italy

• „My congratulation for your impressive scientific achievements, first of all for being the first proposing the use of dynamic neural branch prediction that became finally commercially employed in a number of processors.” – Prof. Dezső Sima, Obuda University, Hungary

• „Propunerea unui predictor [neuronal implementat în] hardware a fost excelentă chiar dacă industria așteaptă cam 15 ani pentru concretizări.” – Prof. Traian Munteanu, Head ERISCS Research Group, Aix-Marseille University, France

• “Este ceva remarcabil să deschizi o pârtie, să fii omul din capătul de început pentru Neural Branch Prediction, tehnică ce foarte curând va deveni normă pentru toate arhitecturile de microprocesoare.” – Prof. Gh. Toacșe, Universitatea „Transilvania” Brasov

Page 20: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

• “Te FELICIT din toată inima pentru marea realizare.” – Prof. Nicolae Țăpuș, U.P. București

În fine, mai jos se prezintă câteva citări internaționale (2017) ale primului articol care a propus conceptul de neural branch prediction, introdus în premieră de profesorul Vințan (VINȚAN L. – Towards a High Performance Neural Branch Predictor, Proceedings of The International Joint Conference on Neural Networks, pp. 868 – 873, vol. 2, Washington DC, USA, 10-16 July, 1999, codificat în continuare [B11])

1. [B11] Merja Oja, Samuel Kaski, Teuvo Kohonen (https://en.wikipedia.org/wiki/Teuvo_Kohonen), Bibliography of Self-Organizing Map (SOM) Papers: 1998-2001 Addendum, NEURAL COMPUTING SURVEYS 3, ISSN: 1093-7609 pp. 1-156, 2002, v. http://www.cis.hut.fi/research/refs/NCS_vol3_1.pdf

2. [B11] D. Jiménez (v. http://faculty.cse.tamu.edu/djimenez/), L. Calvin – “Neural Methods for Dynamic Branch Prediction“, ACM Transactions on Computer Systems (TOCS), vol.20, Issue 4 (November 2002), pg. 369-397, ISSN 0734-2071, ACM Press, New York, USA; citare indexata in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009); Scor relativ de influenta (SRI) = 2,35346358792185 (in anul 2012, cf. CNCS. - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/)

3. [B11] Daniel A. Jiménez - Fast Path-Based Neural Branch Prediction, Proceedings of the 36-th Annual International Symposium on Microarchitecture (MICRO-36), San Diego, CA, December 2003 (MICRO este una dintre cele mai elitiste conferinte de arhitecturi de calcul); citare indexata in BDI Scopus

4. [B11] Sunghoon Kim – Branch Prediction using Advanced Neural Methods, Technical Report, University of California, Berkeley, 2003

5. [B11] Falcon A., Stark J., Ramirez A., Lai K., Valero M. (v. https://en.wikipedia.org/wiki/Mateo_Valero) - " Prophet/Critic Hybrid Branch Prediction", International Symposium on Computer Architecture (ISCA '04), Munich, Germany, 2004 (ISCA este cea mai prestigioasa conferinta din lume in domeniul microarhitecturilor de mare performanta; autorii sunt cercetatori renumiti ai companiei INTEL respectiv ai Universitatii “Politecnica” din Barcelona; Prof. Mateo Valero este castigatorul Premiului Eckert-Mauchly pe anul 2007, cel mai prestigious premiu in Computer Architecture – v. http://en.wikipedia.org/wiki/Eckert%E2%80%93Mauchly_Award); citare indexata in BDI Scopus

6. [B11] Tarjan D., Skadron K. - Revisiting the Perceptron Prediction Again, Technical Report CS-2004-28, University of Virginia, USA, 2004

7. [B11] Arun Lakshrninarayanan, Swmya Shriraghavan – Neural Branch Prediction, CS6290 Project, College of Computing, Georgia Tech, USA

8. [B11] Monchiero M., Palermo G. - The Combined Perceptron Branch Predictor, Technical Report No. 2004.35, 2004, Politecnico di Milano, Italy (republished in Proceedings of International EuroPar Conference, Lisboa, Portugal, August 30 – September 2, 2005); citare indexata in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009)

9. [B11] Seznec A. (v. https://team.inria.fr/pacap/members/andre-seznec/) - The O-GEHL branch predictor, The Journal of Instruction Level Parallelism, Championship Branch Predictors Workshop, December 4-8, 2004, Portland Oregon, SUA (ISI Thomson)

10. [B11] Haitham Akkary, Srikanth T. Srinivasan, Rajendar Koltur, Yogesh Patil, Wael Refaai - Perceptron-Based Branch Confidence Estimation, 10-th International Symposium on High Performance Computer Architecture (HPCA'04), February 14 - 18, 2004, Madrid, Spain; citare indexata in BDI Scopus

Page 21: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

11. [B11] Seznec A. - Analysis of the O-GEometric History Length Branch Predictor, 32-nd Annual ISCA Conference, Madison, Wisconsin USA, June 4-8, 2005 (ISCA este cea mai prestigioasa conferinta din lume in domeniul microarhitecturilor de mare performanta; A. Seznec – INTEL Awarded - este unul dintre cei mai renumiţi cercetători din lume în domeniul Computer Architecture)

12. [B11] Daniel A. Jiménez - Improved Latency and Accuracy for Neural Branch Prediction, ACM Transactions on Computer Systems (TOCS), ISSN 0734-2071, vol. 23, no.2, May 2005; citare indexata in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009); Scor relativ de influenta (SRI) = 2,35346358792185 (in anul 2012, cf. CNCS - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/)

13. [B11] Falcon A., Stark J., Ramirez A., Lai K., Valero M. (Premiul IEEE ACM Eckert-Mauchly) - Better Branch Prediction Through Prophet/Critic Hybrids, IEEE Micro, January/February 2005 (Vol. 25, No. 1); citare indexata in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009); Scor relativ de influenta (SRI) = 2,54207436399217 (in anul 2012, cf. CNCS)

14. [B11] Tarjan D., Skadron K., Merging path and Gshare Indexing in Perceptron Branch Prediction, ACM Transactions on Architecture and Code Optimization, ISSN 1544-3566, vol. 2, no 3, September 2005

15. [B11] Culpepper B., Gondre M., SVMs for Improved Branch Prediction, University of California, UCDavis, USA, ECS201A Technical Report, 2005

16. [B11] Verdu J., Nemirovsky M., Garcia J., Valero M. (Premiul IEEE ACM Eckert-Mauchly), Workload Characterization of Stateful Networking Applications, International Symposium on High Performance Computing (ISHPC-6), Nara City, Japan, September 2005; citare indexata in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009)

17. [B11] Verdu J., Nemirovsky M., Garcia J., Valero M., Architectural Impact of Stateful Networking Applications, 1-st International Symposium on Architectures for Networking and Communications Systems (ANCS), Princeton, NJ, USA, October 2005; citare indexata in BDI Scopus (9 citari ale acestui articol pana in 17.12.2009)

18. [B11] Seznec A., Genesis of the O-GEHL branch predictor, Journal of Instruction Level Parallelism, vol.7, 2005

19. [B11] Gao K., Pandis I., Project4: Branch prediction Competition, 18-741 Advanced Computer Architecture, Carnegie Melon, USA, 6 December 2005

20. [B11] A. Florea, Predictia dinamica a valorilor in microprocesoarele generatie urmatoare, Editura Matrix Rom, Bucuresti, 2005

21. [B11] Seznec A., Michaud P., A case for (partially) TAgged Geometric history length branch prediction, Journal of Instruction Level Parallelism, USA, vol.8, 2006

22. [B11] Desmet V., On the Systematic Design of Cost-Effective Branch Prediction, PhD Thesis, ISBN 90-8578-087-X, Gent University, Belgium, June 2006

23. [B11] Amilcar Arfel Molina D'iaz - Plataforma de Comparacion para la Prediccion Dinamica de Saltos en Hardware FPGA, University Politecnica Catalunya, Barcelona, 2005

24. [B11] Poojary V. - Predicting Loop Unrolling Impact in Open MP Programs Using Machine Learning, MSc Thesis, North Carolina State University, USA, 2005

25. [B11] Almeida S. – Neural Branch Prediction - http://users.wpi.edu/~almeida/neural.pdf , TR, Worcester Polytechnic Institute - 100 Institute Road - Worcester, MA 01609-2280, USA, December 2006

26. [B11] Ma Y., Gao H., Zhou H., Using Indexing Functions to Reduce Conflict Aliasing in Branch Prediction Tables, IEEE Transactions on Computers, ISSN 0018-9340, vol. 55, No. 8,

Page 22: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

August 2006 (cea mai prestigioasa revista stiintifica din lume in domeniul calculatoarelor); citare indexata in BDI Scopus; Scor relativ de influenta (SRI) = 2,00715990453461 (in anul 2012, cf. CNCS - v. http://www.cncs-uefiscdi.ro/program-idei-proiecte-de-cercetare-exploratorie-competitie-2012/)

27. [B11] Maj Chetan Dewan, Study of Speculative Execution and Branch Prediction, Department of Computer Science and Engineering, Indian Institute of Technology Bombay, November 2006, http://www.cse.iitb.ac.in/~chetandewan/papers/speculative.pdf

28. [B11] Tarjan D. – Merging Path, Global and Local Indexing in Perceptron Branch Prediction, MSc Thesis, School of Engineering and Applied Science, University of Virginia, USA , January 2007

29. [B11] Singer J., Brown G., Watson I. - Branch Prediction with Bayesian Networks, First Workshop on Statistical and Machine Learning Approaches applied to Architectures and Compilation (in conjunction with HiPEAC 2007 Conf.), pp. 96-112, Gent, Belgium, January 2007

30. [B11] Rajakumar Priyadarshini, A study on the neural-based perceptron branch predictor and its behavior, M.Sc., University of Maryland , College Park, 2006, 56 pages; 1439187, http://www.scribd.com/doc/18953262/A-study-on-the-neuralbased-perceptron-branch-predictor-and-its-behavior

31. [B11] Falk Wilamowski - Embedding branch predictors in ArchC processor simulators, Diplomarbeit, erstellt an der University of Reading, Reading, 18. März 2006 - http://falk.muellerbande.net/blog/user/admin/dissertation.pdf

32. [B11] Silc J., Ungerer T. (v. https://www.informatik.uni-augsburg.de/lehrstuehle/sik/mitarbeiter/ungerer/ ), Robic B., Dynamic Branch Prediction and Control Speculation, International Journal on High Performance Systems Architecture, vol. 1, no. 1, pp. 2-13, Inderscience Enterprises Ltd., 2007

33. [B11] Aasaraai K., Baniasadi A., A Power-Aware Alternative for the Perceptron Branch Predictor, Lecture Notes in Computer Science. Advances in Computer Systems Architecture, vol. 4697, ISSN 0302-9743 (Print) 1611-3349 (Online), ISBN 978-3-540-74308-8, Springer-Verlag Berlin / Heidelberg, 2007

34. [B11] Carl Blumenthal, Development of the NoGapCL Hardware Description Language and its Compiler, Examensarbete utfört i kompilatorer och processordesign vid Tekniska högskolan i Linköping, 2007

35. [B11] Michael Andrew Hicks, Energy Efficient Branch Prediction, PhD Thesis, University of Hertfordshire, UK, December 2007 - v. https://uhra.herts.ac.uk/dspace/bitstream/2299/4613/1/Michael%20Hicks%20-%20final%20PhD%20submission.pdf

36. [B11] A. Seznec, The L-TAGE Branch Predictor, Journal of Instruction Level Parallelism, USA, pp. 1-13, no. 9, 2007

37. [B11] Michael Black, Applying Perceptrons to Speculation in Computer Architecture, University of Maryland, College Park, USA, 2007 (also in his book entitled: Applying Perceptrons to Speculation in Computer Architecture - Neural Networks in Future Microprocessors, Vdm Verlag, 2007)

38. [B11] Hongliang Gao, Yi Ma, Martin Dimitrov, Huiyang Zhou (v. (https://www.ece.ncsu.edu/people/hzhou), Address-Branch Correlation: A Novel Locality for Long-Latency Hard-to-Predict Branches, The 14th International Symposium on High Performance Computer Architecture (HPCA-14), February 2008

Page 23: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

39. [B11] Vojin Oklobdzija (Ed.), The Computer Engineering Handbook. Digital Systems and Applications, 2 Volume Set, ISBN-10: 0849386004, CRC Press, 2-nd edition (1648 pp.; Par. 2.3), 2008

40. [B11] Owen Kirby, Shahriar Mirabbasi, and Tor M. Aamodt - Mixed-Signal Neural Network Branch Prediction, Technical Report, University of British Columbia , June 2007

41. [B11] Á. Gellért, Advanced Prediction Methods Integrated Into Speculative Computer Architectures, PhD Thesis, “Lucian Blaga” University of Sibiu, 2008

42. [B11] Á. Gellért, Beyond the Limits of Modern Processors, ISBN 978-973-755-426-0, Editura Matrix Rom, Bucuresti, 2008

43. [B11] V. Uzelac, Microbenchmarks and mechanisms for reverse engineering of modern branch predictor units, MSc Thesis, The University of Alabama in Huntsville, The Department of Electrical & Computer Engineering, USA, 2008

44. [B11] Bharat, Meghamala, Jampani, Moulaali, Dynamic branch prediction with merged history perceptron predictor(new proposal), Texas A&M University , College Station, 2008

45. [B11] Verma, S., Maderazo, B., Koppelman, D.M., Spotlight - A Low Complexity Highly Accurate Profile-Based Branch Predictor, Performance Computing and Communications Conference (IPCCC), 2009 IEEE 28-th International, ISBN: 978-1-4244-5737-3, December 2009

46. [B11] Lei Wang and Qiong-Xian Zeng, BBQ - A Simple and Effective Approach to Backward Branch Predictions for Embedded Processors, Journal of Computers, Vol. 20, No.4, January 2010, ISSN: 1991-1599, China, 2010, http://www.joc.iecs.fcu.edu.tw/E_index.htm

47. [B11] Jia-Hao Fan, Spencer Huang, Scaled Neural Analog Branch Predictor, Term Project Evaluation Form CSCE614, Texas A&M University , CS Dept, 2010 v. - http://neuralbranchpredictorinc.googlecode.com/svn-history/r57/trunk/doc/finalReport.pdf, http://scholar.googleusercontent.com/scholar?q=cache:wjLCIuDUp6sJ:scholar.google.com/&hl=ro&as_sdt=0&sciodt=0

48. [B11] Ozturk, C., Sendag, R., An analysis of hard to predict branches, Performance Analysis of Systems & Software (ISPASS), 2010 IEEE International Symposium on, pp. 213 - 222, White Plains, NY, 28-30 March 2010

49. [B11] Xiao Chen, A Survey of Dynamic Branch Prediction Techniques, CS5431 Term Paper, Michigan Technological University, USA, 04/22/2010

50. [B11] Yuval Peress, Gary Tyson - Augmented Tournament Predictor, submitted to 2nd JILP Workshop on Computer Architecture Competitions (JWAC-2): Championship Branch Prediction, http://www.jilp.org/jwac-2/, in conjunction with: ISCA-38, http://isca2011.umaine.edu/, San Jose, CA, USA, June 2011

51. [B11] Yang Lu, Yi Liu, He Wang - A study of perceptron based branch prediction on Simplescalar platform, Computer Science and Automation Engineering (CSAE), 2011 IEEE International Conference on, pp. 591 - 595, Print ISBN: 978-1-4244-8727-1, Shanghai, China, 10-12 June 2011, v. http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=5952918

52. [Vintan-Neural Branch Prediction Papers] Yue Hu, David M. Koppelman, Lu Peng (Louisiana State University, USA), A Penalty-Sensitive Branch Predictor (PPTX Presentation), 2-nd JILP Workshop on Computer Architecture Competitions (JWAC-2): Championship Branch Prediction, held in conjunction with The 38-th International Symposium on Computer Architecture, June 2011, see https://www.jilp.org/jwac-2/program/cbp3_04_hu.pptx

53. [B11] Souad Koliaï, Static and Dynamic Approach for Performance Evaluation of Scientific Codes, Université de Versailles Saint-Quentin, France, 11 Juillet 2011, v. http://www.maqao.org/publications/theses/Thesis.SK.pdf

Page 24: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

54. [B11] Santhosh Verma, Reducing complexity of processor front ends with static analysis and selective preloading, PhD Thesis, Louisiana State University, December 2011, http://etd.lsu.edu/docs/available/etd-09162011-163314/unrestricted/thesis.pdf

55. [B11] Jimenez D., An optimized scaled neural branch predictor, Proceedings of The IEEE 29-th International Conference on Computer Design (ICCD), Amherst, MA, USA, ISSN: 1063-6404, pp. 113 – 118, 9-12 Oct. 2011, http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=6081385

56. [B11] Rommel García Hernández et al, Desarrollo en FPGA de un Microprocesador RISC para la Enseñanza de Arquitecturas de Computadoras y Desarrollo de SoC en Sistemas Embebidos, pp. 264-272, Congreso Internacional de Computación - CICOM 2012, v. http://www.researchgate.net/publication/264971891_Desarrollo_en_FPGA_de_un_Microprocesador_RISC_para_la_Enseanza_de_Arquitecturas_de_Computadoras_y_Desarrollo_de_SoC_en_Sistemas_Embebidos

57. [B11] Gene Sher, The Quintessential Neural Network Programming Language, PROCEEDINGS OF THE 24-TH SYMPOSIUM ON IMPLEMENTATION AND APPLICATION OF FUNCTIONAL LANGUAGES (IFL 2012), Springer, pp. 203-218, v. http://www.cs.ox.ac.uk/files/5260/CS-RR-12-06.pdf; http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.362.3682&rep=rep1&type=pdf#page=204

58. [B11] Gene I. Sher, Handbook of Neuroevolution Through Erlang, ISBN: 978-1-4614-4462-6 (Print) 978-1-4614-4463-3 (Online), Springer New York, 2013, see http://link.springer.com/chapter/10.1007%2F978-1-4614-4463-3_1?LI=true#

59. [B11] Snigdha M. Mohapatra, Pradipta Kumar Mishra, More Accurate Value Prediction Using Neural Methods, International Journal of Computer Science and Technology, vol. 4, issue 3-1, ISSN 2229-4333, 2013 - See http://ijcst.com/archives/vol-4-issue-2-4/vol-4-issue-3-3/vol-4-issue-3-2/vol-4-spl-3/vol-4-issue-3-1/#sthash.P2TYQfBA.dpbs

60. [B11] Snigdha M. Mohapatra, Pradipta K. Mishra, A Novel Approach for Confidence Estimation using Support Vector Machines for more Accurate Value Prediction, International Journal of Computer Applications (0975 – 8887), International Conference on Emergent Trends in Computing and Communication (ETCC-2014), v. http://research.ijcaonline.org/etcc/number1/etcc1413.pdf

61. [B11] Su, Xuesong, Hui Wu, and Qing Yang, An Efficient WCET-Aware Hybrid Global Branch Prediction Approach, Embedded and Real-Time Computing Systems and Applications (RTCSA), Electronic ISSN: 2325-1301, 2016 IEEE 22-nd International Conference on. IEEE, August 2016, v. http://ieeexplore.ieee.org/abstract/document/7579956/

62. [B11] Ozcan G., DETECTION OF P53 CONSENSUS SEQUENCE: A NOVEL STRING MATCHING WITH CLASSES ALGORITHM, Uludağ University, Journal of The Faculty of Engineering, vol. 21, no. 2, 2016, DOI:10.17482/uumfd.273970, Bursa, Turkey, v. https://www.researchgate.net/publication/311781905_P53_KONSENSUS_SEKANSININ_YAKALANMASI_SINIF_OZELLIKLI_YENI_BIR_SEKANS_ESLESTIRME_ALGORITMASI

63. [B11] Moritz Lipp, et al, Meltdown, available online at https://meltdownattack.com/meltdown.pdf, January 2018. Republished as: Meltdown: Reading Kernel Memory from User Space, 27-th USENIX Security Symposium (USENIX Security 18), Baltimore, USA, August 15-17 2018 (indexed WoS – see http://apps.webofknowledge.com.am.e-nformation.ro/Search.do?product=WOS&SID=C1nCKEnZzqLqqsfyvgX&search_mode=GeneralSearch&prID=c39e60e8-0749-4ce4-b890-e201945b7667). The authors cited my IJCNN 1999 paper that introduces the fertile idea of neural branch prediction. They wrote that “More recently, ideas to use neural branch prediction [34, (– my paper!) 18, 32] have been picked up and integrated into

Page 25: CURRICULUM VITAE - Universitatea "Lucian Blaga" din Sibiuwebspace.ulbsibiu.ro/lucian.vintan/html/CV_Romana.pdf · dinamic ă a branch -urilor; spre exemplu, conceptul de "dynamic

CPU architectures [3].” In their Spectre paper, available online at https://spectreattack.com/spectre.pdf, the authors wrote that neural branch predictors involve „even more complex speculative behavior.” It is already well-known that the authors of these two papers and, independently, some Google researchers (see https://googleprojectzero.blogspot.ro/2018/01/reading-privileged-memory-with-side.html) have discovered some commercial processors bugs related to the subtle interaction between out of order and speculative instructions execution, caches and virtual memory protection mechanism.

64. [B11] Michaud, P., An Alternative TAGE-like Conditional Branch Predictor, ACM Transactions on Architecture and Code Optimization, ISSN: 1544-3566, 2018, v. https://hal.inria.fr/hal-01799442/document (Clarivate Analytics WoS - Q2)

65. [B11, B24] Bhattacharjee A., Using Branch Predictors to Predict Brain Activity in Brain-Machine Implants, Proceedings of MICRO-50, Cambridge, MA, USA, October 14–18, 2017, v. https://www.cs.rutgers.edu/~abhib/abhib-micro17.pdf

Un alt articol al profesorului Vințan, cu impact industrial direct, este următorul:

CHIȘ R., VINȚAN L. – Developing Automatic Multi-Objective Optimization Methods for Complex Actuators, Advances in Electrical and Computer Engineering, Vol. 17, Issue 4, pp. 89-98, ISSN: 1582-7445, November 2017. Articolul este disponibil online la adresele: http://webspace.ulbsibiu.ro/lucian.vintan/html/aece.pdf respectiv http://www.aece.ro/abstractplus.php?year=2017&number=4&article=11.

Acest articol prezintă o soluție la o problemă complexă de optimizare multi-obiectiv, propusă nouă de compania CONTINENTAL Sibiu , v. https://www.linkedin.com/pulse/multi-objective-optimization-complex-industrial-actuators-vințan/

Detalii despre activitatea profesională a profesorului Vințan sunt disponibile online la: http://webspace.ulbsibiu.ro/lucian.vintan/html/ http://webspace.ulbsibiu.ro/lucian.vintan/html/Lista.doc http://www.astr.ro/prof-univ-dr-ing-lucian-nicolae-vintan/ https://www.hipeac.net/~lucian.vintan/.

Detalii despre activitatea profesională a profesorului Vințan sunt disponibile online la: http://webspace.ulbsibiu.ro/lucian.vintan/html/ http://webspace.ulbsibiu.ro/lucian.vintan/html/Lista.doc http://www.astr.ro/prof-univ-dr-ing-lucian-nicolae-vintan/ https://www.hipeac.net/~lucian.vintan/.