AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

101
Constantin Harja AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE CURS Instrumentaţie şi achiziţie de date an III UNIVERSITATEA TEHNICĂ „GH. ASACHI” IAŞI Facultatea de Inginerie Electrică, Energetică şi Informatică Aplicată

Transcript of AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

Page 1: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

Constantin Harja

AMPLIFICATOARE ŞI

CONVERTOARE DE MĂSURARE

CURS

Instrumentaţie şi achiziţie de date an III

UNIVERSITATEA TEHNICĂ „GH. ASACHI” IAŞI

Facultatea de Inginerie Electrică, Energetică şi Informatică Aplicată

Page 2: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE
Page 3: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

I

CUPRINS

I. PROBLEMATICA SISTEMELOR DE ACHIZIŢIE ŞI PRELUCRARE DATE ……... 1I.1. Noţiuni introductive ........................................................................................................... 1I.2. Destinaţia şi funcţiile SAPD ............................................................................................... 1

I.2.1. Destinaţia SAPD ........................................................................................................ 1I.2.2. Funcţiile SAPD .......................................................................................................... 2

I.3. Structura SAPD .................................................................................................................. 5

II. CIRCUITE DE CONDIŢIONARE A SEMNALELOR .................................................... 7II.1. Surse de semnal aferente SAPD ....................................................................................... 7II.2. Circuite pasive de condiţionare a semnalelor .................................................................. 7

II.2.1. Circuit de condiţionare potenţiometric .................................................................. 8II.2.2. Circuit de condiţionare în punte ............................................................................ 10

II.3. Amplificatoare pentru SAPD ........................................................................................... 11II.3.1. Amplificatoare operaţionale .................................................................................. 11II.3.2. Amplificatoare de instrumentaţie .......................................................................... 13

II.3.2.1 Amplificatorul diferenţial ........................................................................... 13II.3.2.2 Amplificator de instrumentaţie cu două AO ............................................... 16

II.3.2.3 Amplificator de instrumentaţie cu trei AO ................................................. 17

II.3.3. Amplificatoare cu izolare galvanică ...................................................................... 18II.3.3.1. Principiul de construcţie şi funcţionare ..................................................... 18II.3.3.2. AIG cu modulare în durată şi transformator .............................................. 20II.3.3.3. AIG fără modulare şi cuplaj prin optocuplor ............................................. 20

II.3.4. Amplificatoare cu chopper .................................................................................... 21II.3.4.1. Amplificator cu chopper – varianta clasică ............................................... 22II.3.4.2. Amplificator cu auto-zero ......................................................................... 22II.3.4.3. Amplificator stabilizat cu chopper ............................................................ 24

II.3.5. Amplificatoare de sarcină ...................................................................................... 24II.4. Circuite de calcul analogic ............................................................................................... 26

II.4.1. Amplificatoare sumatoare ...................................................................................... 26II.4.2. Integratoare şi diferenţiatoare ................................................................................ 27

II.4.2.1. Integratoare ............................................................................................... 27II.4.2.2. Diferenţiatoare ........................................................................................... 28

II.4.3. Amplificatoare logaritmice şi exponenţiale ........................................................... 28II.4.3.1. Principiul de funcţionare ........................................................................... 28

II.4.3.2. Amplificatoare logaritmice compensate ................................................... 29

II.4.4. Multiplicatoare şi divizoare analogice ................................................................... 30II.5. Circuite de filtrare analogică ........................................................................................... 32

II.5.1. Consideraţii generale ............................................................................................. 32II.5.2. Filtre active trece-jos ............................................................................................. 34

II.5.2.1. Frecvenţe caracteristice unui filtru trece-jos ............................................. 34II.5.2.2. Filtre active trece-jos de ordinul întâi ....................................................... 34II.5.2.3. Filtre active trece-jos de ordinul doi ......................................................... 35

Page 4: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

II

II.5.2.3. Filtre active trece-jos de ordin superior .................................................... 36II.6. Convertoare tensiune-curent şi curent tensiune ............................................................... 36

II.6.1. Convertoare tensiune-curent .................................................................................. 36II.6.1.1. Convertoare tensiune-curent unidirecţionale ............................................ 37II.6.1.2. Convertoare tensiune-curent bidirecţionale .............................................. 38II.6.1.3. Convertoare cu ieşire în curent unificat .................................................... 39

II.6.2. Convertoare curent-tensiune .................................................................................. 40

III. CIRCUITE DE MULTIPLEXARE ŞI EŞANTIONARE-MEMORARE ………... 43III.1. Circuite de multiplexare ............................................................................................... 43

III.1.1. Generalităţi ...................................................................................................... 43III.1.2. Multiplexoare şi demultiplexoare CMOS ........................................................ 44

III.2. Circuite de eşantionare-memorare ............................................................................... 45III.2.1. Principiile eşantionării-memorării ................................................................... 45

III.2.1.1. Eşantionarea periodică ideală ........................................................... 45III.2.1.2. Eşantionarea periodică cu memorare ................................................ 46III.2.1.3. Eşantionarea periodică cu mediere ................................................... 47III.2.1.4. Teorema lui Shannon ........................................................................ 48III.2.1.5. Filtrare antialiasing ........................................................................... 48

III.2.2. Circuite de eşantionare memorare neinversoare .............................................. 49III.2.3. Circuite de eşantionare memorare inversoare ................................................. 51III.2.4. Caracteristici tehnice ale circuitelor de eşantionare-memorare ...................... 52

IV. CONVERTOARE NUMERIC-ANALOGICE – DAC ..................................................... 55IV.1. Coduri uzuale în conversiile analog-numerice şi numeric-analogice ........................... 55

IV.1.1. Coduri numerice unipolare .................................................................... 55

IV.1.2. Coduri numerice bipolare ...................................................................... 57IV.2. Caracteristica de transfer a unui DAC ......................................................................... 59IV.3. Reţele rezistive utilizate la realizarea DAC ................................................................ 61

IV.3.1. Reţele rezistive ponderate binar .................................................................... 61IV.3.2. Reţele rezistive în scară ................................................................................ 62

IV.4. DAC cu reţea R/2R şi referinţă de tensiune ................................................................. 63IV.4.1. DAC cu reţea R/2R şi ieşire în tensiune ........................................................ 63IV.4.2. DAC cu reţea R/2R şi ieşire în curent ............................................................ 64IV.4.3. DAC cu reţea R/2R şi comutarea curenţilor .................................................. 65

IV.5. DAC cu reţea R/2R şi referinţă de curent .................................................................... 66IV.5.1. DAC cu reţea R/2R şi un singur curent de referinţă ...................................... 66IV.5.2. DAC cu reţea R/2R şi n – curenţi de referinţă ............................................... 66

IV.6. DAC în cod BCD ......................................................................................................... 67IV.7. DAC cu generatoare de curenţi ponderaţi ................................................................... 68IV.8. DAC indirecte ............................................................................................................. 70

IV.8.1. DAC indirect cu numărător presetabil ........................................................... 71IV.8.2. DAC indirect cu numărător şi comparator numeric ...................................... 71IV.8.3. DAC indirect cu generator de semnal pseudoaleator .................................... 72

IV.9. DAC cu funcţionare serie ............................................................................................ 72

Page 5: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

III

IV.9.1. DAC serie cu transfer direct de sarcină ......................................................... 72IV.9.2. DAC serie cu transfer ciclic de sarcină ......................................................... 74

IV.10. DAC cu comprimare ................................................................................................. 76IV.11. DAC cu multiplicare ................................................................................................. 78

V. CONVERTOARE ANALOG-NUMERICE – ADC .......................................................... 79V.1. Caracteristica de transfer a unui ADC .......................................................................... 79V.2. ADC cu funcţionare paralel .......................................................................................... 81V.3. ADC cu reacţie ............................................................................................................. 82

V.3.1. ADC cu reacţie şi numărător ............................................................................. 82V.3.2. ADC cu aproximaţii succesive .......................................................................... 84

V.4. ADC cu integrare .......................................................................................................... 85V.4.1. Rejecţia perturbaţiilor serie la ADC cu integrare .............................................. 86V.4.2. ADC cu dublă integrare .................................................................................... 87V.4.3. ADC cu mai multe pante pentru creşterea vitezei ............................................. 88V.4.4. ADC cu mai multe pante pentru creşterea preciziei .......................................... 91

V.5. Convertoare tensiune-frecvenţă – VFC ........................................................................ 93V.5.1. VFC cu condensator de integrare ...................................................................... 93V.5.2. VFC cu echilibrare de sarcină ........................................................................... 94

Page 6: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

IV

Page 7: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

1

Capitolul I

PROBLEMATICA SISTEMELOR DE ACHIZIŢIE ŞI PRELUCRARE DATE

I.1. NOŢIUNI INTRODUCTIVE

Măsurarea reprezintă calea fundamentală de obţinere a informaţilor cantitative necesare pentru cunoaşterea şi interacţiunea omului cu mediul exterior. Suportul fizic pe care este vehiculată informaţia de măsurare este denumit în general semnal. Semnalele primare de măsurare pot fi electrice sau neelectrice. Cele neelectrice sunt convertite într-un semnal electric cu ajutorul unor convertoare numite senzori sau traductoare.

Noţiunea de convertor poate fi utilizată generic pentru orice bloc funcţional care operează o anumită modificare a unui semnalul până la extragerea finală a informaţiei. Deşi între noţiunile de senzor şi traductor nu s-a păstrat o delimitare strictă, prin senzor se înţelege blocul care converteşte un semnal neelectric într-un semnal electric intermediar (ex.: termorezistenţa, termocuplul etc.), iar prin traductor se înţelege blocul care converteşte un semnal neelectric într-un semnal electric unificat (ex.: curent unificat 4…20 mA, tensiune 0…10 V etc.).

Semnalele unificate facilitează interconectarea componentelor unui sistem de măsurare şi control. Ele pot fi analogice sau numerice şi trebuie să respecte anumite norme privind natura, intervalul de variaţie, nivelul sau codul pentru cele numerice. Semnalele unificate numerice au evoluat până la compatibilitate cu interfeţele de comunicaţie specifice tehnicii de calcul. Un exemplu în acest sens ar fi senzorii cu ieşire numerică, care pot fi conectaţi direct la un port sau interfaţă de comunicaţie a unui sistem de calcul.

Dezvoltarea tehnicilor de calcul a consacrat noţiunea de dată ca element de bază pentru exprimarea în format numeric a unei mărimi. Datele conţin informaţie ce poate fi extrasă prin prelucrarea lor. Noţiunea de dată s-a extins şi în domeniul măsurărilor, redefinite drept măsurări de date. Ca urmare, pentru valorile mărimilor rezultate din procesul de măsurare, se poate utiliza noţiunea de dată de măsurare sau numai dată, într-o exprimare mai pe scurt.

În aceeaşi ordine de idei, pentru a se asigura coerenţa terminologiei, noţiunea de dată a fost extinsă şi asupra informaţiei vehiculate de către semnalul de măsurare pe traseul de la senzor până la ieşirea sistemului de măsurare, indiferent de prelucrările operate asupra semnalului de măsurare.

Şi astfel, conceptul de sistem de măsurare sau sistem de măsurare şi control a evoluat spre cel de sistem de achiziţie şi prelucrare date (SAPD).

I.2. DESTINAŢIA ŞI FUNCŢIILE SAPD

I.2.1. DESTINAŢIA SAPD

Fiind mijlocul dedicat obţinerii de informaţii cantitative asupra mediului exterior, natural sau creat de om, SAPD devin indispensabile în orice domeniu de activitate umană. Progresului tehnic actual impune tendinţa ca şi cele mai elementare mijloace de măsurat să prezinte variante evoluate, dotate cu tehnică de calcul, care pot fi incluse în categoria SAPD a căror complexitatea poate varia în limite foarte largi, astfel încât un SAPD poate include structuri care constituie ele însele SAPD.

Page 8: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

2

Pentru ca un mijloc de măsurare să poată fi considerat SAPD trebuie să asigure efectuarea unui set minimal de funcţii privind achiziţia, prelucrarea analogică şi numerică a semnalelor de măsurare şi comunicaţia de date cu exteriorul, ultimele două funcţii având caracter definitoriu.

SAPD pot funcţiona, parţial sau integral, în buclă deschisă când furnizează informaţii despre mărimile măsurate sau în buclă închisă (cu reacţie negativă, feedback) când informaţiile de ieşire sunt utilizate pentru controlul automat al mărimilor de intrare sau al altor mărimi de interes.

Destinaţiile SAPD, după scopul în care sunt utilizate, pot fi sistematizate astfel: a) Verificarea stării sau supravegherea evoluţiei unor mărimi (monitorizare) – când nu este

necesar sau este imposibil de controlat mărimea de intrare. În acest caz SAPD funcţionează în buclă deschisă, efectuând doar măsurarea şi furnizarea valorilor mărimilor de interes şi a altor informaţii sintetice, cum ar fi: măsurarea consumurilor de utilităţi (energie electrică sau termică, apă, gaz metan etc.), măsurarea parametrilor meteorologici (temperatură, presiune etc.), de calitate a mediului (concentraţie noxe, radiaţii etc.), biologici (puls, tensiune arterială etc.), de natură geologică sau astronomică, testarea componentelor şi produselor finite etc.

b) Controlul unor procese – când este necesar ca mărimea măsurată să fie modificată. În acest caz SAPD funcţionează de regulă în buclă închisă, efectuând prin legătura inversă controlul mărimilor de intrare astfel încât să se obţină rezultatul scontat, adică îndeplinesc funcţiile specifice sistemelor automate de măsurare şi control. Utilizarea SAPD în acest scop are cea mai vastă arie de aplicaţii în multe domenii de activitate, în care calităţile lor devenind esenţiale sunt valorificate la maximum. Cel mai simplu exemplu în acest sens ar fi menţinerea constantă a temperaturii într-o încăpere, controlând o centrală termică modernă cu un termostat de ambiant.

c) Desfăşurarea activităţii de cercetare – când SAPD pot fi utilizate ca mijloace de investigare experimentală pentru testarea, înţelegerea şi aplicarea cercetărilor teoretice.

d) Desfăşurarea activităţii metrologice – când SAPD pot fi utilizate ca mijloace de verificare şi calibrare pentru orice categorie de etaloane şi mijloace de măsurat.

I.2.2. FUNCŢIILE SAPD

a) Achiziţia datelor Datele primare de intrare ale unui SAPD pot fi mărimi electrice sau neelectrice, continue sau variabile în timp, analogice sau logice, într-un interval larg de valori. Deoarece, pentru măsurarea mărimilor neelectrice este consacrată utilizarea de senzori şi traductoare, realizate de sine stătător, rezultă că pentru un SAPD problema achiziţiei datelor de intrare se reduce la achiziţia de semnale electrice (tensiune, curent, rezistenţă, capacitate, inductivitate, frecvenţă, coduri binare etc.). Achiziţia datelor de intrare are rol determinant asupra performanţelor globale ale SAPD. Din acest motiv, precizia, rezoluţia şi alte caracteristici ale celorlalte blocuri funcţionale din structura unui SAPD se stabilesc în funcţie de precizia cu care se efectuează achiziţia datelor de intrare. La nivelul achiziţiei datelor de intrare mai apare o problemă critică, tot cu efect limitativ asupra performanţelor şi anume rejecţia perturbaţiilor exterioare. Efectul perturbaţiilor exterioare se manifestă distribuit în spaţiu şi minimizarea lui depinde în principal de trei factori: de caracteristicile sursei de semnal (flotantă sau nu, nivelul şi tipul semnalului etc.), de caracteristicile canalului de legătură între sursă şi SAPD (tipul şi lungimea cablului de legătură), de eficienţa antiperturbativă a convertorului de intrarea al SAPD şi de măsurile antiperturbative implementate pe parcursul procesării analogice şi numerice a semnalului de măsurare (filtrare etc.).

breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
Page 9: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

3

b) Condiţionarea semnalelor Deşi o parte din semnalele pot avea parametri care să permită aplicarea lor direct la intrarea unor blocuri de nivel mai înalt (convertoare analog-numerice, plăci de achiziţie, aparate de măsurat interfaţabile, porturi sau interfeţe de comunicaţie), de regulă se impune o prelucrare prealabilă a

semnalelor de intrare pentru a le încadra în astfel de parametri adecvaţi (ex.: tensiune 1/2/5/10 V

etc.). Operaţiile necesare în acest scop sunt cuprinse în noţiune generală de condiţionare de semnal. Principalele funcţii pe care trebuie să le îndeplinească circuitele de condiţionare a semnalelor electrice de intrare ale unui SAPD sunt următoarele:

adaptare de nivel sau de impedanţă (amplificare sau divizare de semnal, impedanţă de intrare);

rejecţia perturbaţiilor exterioare (filtrare, intrări flotante sau diferenţiale, izolare galvanică);

conversia în tensiune a altor semnale electrice (curent, sarcină, rezistenţă);

conversia c.a.-c.c. (de valoare medie, efectivă sau de vârf);

liniarizarea caracteristicilor unor senzori sau convertoare (termistor, punte);

procesare analogică de semnal (multiplicare, logaritmare, integrare etc.).

c) Multiplexarea semnalelor SAPD operează în general cu o multitudine de semnale de intrare/ieşire, care poate ajunge în funcţie de complexitate la ordinul zecilor, sutelor sau chiar mai mult, astfel încât devine esenţială reducerea numărului de canale de transmitere sau de prelucrare a semnalelor.

Multiplexarea este operaţia prin care se efectuează transmiterea sau prelucrarea mai multor semnale pe o cale comună, operaţia inversă fiind numită demultiplexare. Ambele operaţii pot fi realizate cu acelaşi tip de circuite, numite multiplexoare (analogice sau numerice).

d) Discretizarea datelor Semnalele analogice, fiind variabile continuu în timp, prezintă o infinitate de valori atât într-un anumit interval de timp, cât şi într-un anumit interval de amplitudine. Pentru ca aceste semnale să poată fi prelucrate numeric este necesară discretizarea lor şi prelevarea numai a unui număr finit de valori pe fiecare din cele două direcţii.

Eşantionarea reprezintă operaţia prin care semnalele cu variaţie continuă sunt discretizate în timp, adică din infinitatea de valori pe care le poate lua un astfel de semnal într-un interval de timp sunt prelevate numai un număr finit de valori. Deoarece valorile eşantioanelor prelevate trebuie menţinute constante pe durata prelucrărilor ulterioare, este necesară o memorare analogică a acestor valori, astfel încât circuitele utilizate în acest scop sunt numite circuite de eşantionare-memorare.

Cuantizarea reprezintă operaţia complementară eşantionării, prin care semnalele cu variaţie continuă sunt discretizate în amplitudine, adică din infinitatea de valori pe care le poate lua un astfel de semnal într-un interval de amplitudine sunt prelevate numai un anumit număr finit de valori, adecvate exprimării în format numeric.

Codarea reprezintă operaţie prin care valorilor prelevate în urma discretizării în amplitudine, prin cuantizare, li se atribuie câte un cod numeric corespunzător.

Conversia analog-numerică, incluzând fazele de cuantizare şi codare, reprezintă operaţia completă prin care un semnal analogic este convertit într-un semnal logic codat numeric, circuitele aferente fiind numite convertoare analog-numerice.

e) Conversia numeric-analogică a datelor Conversia numeric-analogică a datelor reprezintă operaţia inversă conversiei analog-numerice, adică conversia unui semnal logic codat numeric într-un semnal analogic, constituind o funcţie

Page 10: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

4

uzuală a SAPD în special atunci când lucrează în buclă închisă. Circuitele specializate pentru efectuarea acestei operaţii sunt convertoarele numeric-analogice.

f) Procesarea numerică a datelor Procesarea numerică a datelor reprezintă implicarea tehnicilor numerice de calcul în domeniul achiziţiei de date, incluzând operaţii de la cele mai elementare până la cele mai complexe, bazate pe un fundament matematic de nivel superior, cum ar fi:

operaţii şi calcule matematice (înmulţire, împărţire, calcul integral, diferenţial etc.);

prelucrare numerică de semnal (filtrare, detecţie, modulare, analiză spectrală etc.). În cazul SAPD de complexitate mai redusă procesarea numerică a datelor se poate realiza,

până la un anumit nivel, prin tehnici software sau cu mijloace hardware mai simple. Mai departe, pentru aplicaţiile care depăşesc acest nivel, s-au dezvoltat familii de microprocesoare specializate denumite procesoare digitale de semnal sau pe scurt DSP (Digital Signal Processor).

g) Comunicaţia de date în cadrul SAPD Comunicaţia de date reprezintă o funcţie esenţială din cadrul unui SAPD prin care se asigură transmiterea de date şi informaţii între componentele sistemului şi către exterior. Deşi în general prin comunicaţie de date se înţelege mai mult comunicaţia de date în format numeric, fiind cea mai complexă, diversificată şi cu cea mai semnificativă ca pondere, această problemă se pune şi în cazul datelor transmise în format analogic. Comunicaţia de date se realizează prin intermediul unor interfeţe de comunicaţie care includ hardware şi software specializat cu caracteristici reglementate prin norme cu valabilitate internaţională, astfel încât să se asigure compatibilitatea în ce priveşte comunicaţia de date între echipamentele din interiorul şi din exteriorul unui SAPD. În general, din punct de vedere hardware, o interfaţă de comunicaţie include mai multe linii (conductoare) de comunicaţie, care pot fi grupate după funcţia lor astfel:

linii de semnal, pe care se transmit semnalele care reprezintă strict informaţia utilă;

linii de control, pe care se transmit semnalele de control a comunicaţiei;

linii de sincronizare, pe care se transmit semnale de tact (clock sau ceas);

linii de alimentare, de masă electrică şi de conectare la pământ. Există o mare varietate de interfeţe de comunicaţie cu un grad de compatibilitate variabil, total sau parţial, care în general pot fi împărţite în două categorii de bază:

interfeţe serie sau seriale, care transmit biţii codului numeric succesiv, astfel încât indiferent de lungimea codului numeric este necesară o singură linie de semnal;

interfeţe paralele, care transmit biţii codului numeric simultan, astfel încât sunt necesare un număr de linii de semnal egal cu numărul de biţi ai codului numeric.

Deci, din punct de vedere al raportului complexitate/viteză, cele două categorii de interfeţe prezintă caracteristici opuse: interfeţele seriale necesită un număr minim de conexiuni, în detrimentul vitezei de transmitere, în timp ce interfeţele paralele asigură viteză maximă cu preţul unui număr mai mare de conexiuni, dependent direct de lungimea codului numeric, care, din acest motiv, este limitată de regulă la 8 biţi.

Ca exemple reprezentative pentru fiecare din aceste categorii de interfeţe de comunicaţie, având în vedere şi domeniul de aplicaţie, pot fi amintite următoarele:

interfeţe analogice:

bucla de curent 4-20 mA – utilizată ca interfaţă (de tip serial) la intrarea unui SAPD pentru

Page 11: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

5

achiziţia de date, cât şi la ieşire pentru transmiterea de comenzi;

interfeţe numerice seriale:

RS-232 (RS-232C) – utilizată pentru conectarea la calculator sau interconectarea perifericelor, modem-urilor, plăcilor de achiziţie, aparatelor de măsurat etc.;

USB (Universal Serial Bus) – utilizată în acelaşi scop ca şi RS-232, fiind însă de dată mai recentă, mai performantă şi cu tendinţă mai mare de generalizare;

I2C (INTER IC) – destinată cu precădere interconectării circuitelor integrate numerice (convertoare analog-numerice şi numeric-analogice, memorii etc.);

interfeţe numerice paralele:

GPIB (cu denumiri anterioare de HP-IB şi IEEE-488) – dedicată conectării la calculator sau interconectării aparatelor şi subsistemelor de măsurare;

VXI/VME – destinată interconectării în cadrul instrumentaţiei de măsurare şi control realizate modular (plăci sau sertare cu dimensiuni şi funcţii standard).

I.3. STRUCTURA SAPD

Structura şi complexitatea SAPD au atins în ultima vreme un nivel considerabil de diversitate, cu o tendinţă de evoluţie tot mai accentuată în acest sens. Pe lângă progresul tehnologic în sine, această evoluţie a fost posibilă şi printr-un efort susţinut de încadrare a structurilor hardware şi software în standarde cu caracter universal, un segment esenţial în acest sens fiind cel al comunicaţiei de date, prin care se asigură compatibilitatea de interconectare şi schimbul de informaţii interne şi cu exteriorul. Prelucrarea numerică a datelor fiind o caracteristică definitorie pentru un SAPD, acesta trebuie să fie în mod obligatoriu organizat în jurul unei unităţi de calcul de tip microprocesor sau microcontroler (microprocesor realizat împreună cu toate componentele de sistem într-un singur circuit integrat). În funcţie de raportul în care se află SAPD faţă de acest procesor, se pot distinge următoarele structuri elementare:

SAPD realizate de sine stătător, cu o structură fixă, destinate unor aplicaţii practice concrete, care în forma cea mai simplă pot reprezenta aparate de măsurat bazate pe metode indirecte de măsurare (ex.: contoarele de energie electrică sau termică etc.);

SAPD cu funcţii particulare, care echipează o serie de maşini, utilaje, instalaţii etc., fiind înglobate în structura acestora pentru controlul automat al funcţionării (ex.: echipamentul electronic al unei centrale termice de apartament, autovehicul etc.);

SAPD destinate automatizărilor industriale, realizate modular sub formă de plăci sau sertare cu dimensiuni şi funcţii standardizate, astfel încât arhitectura lor este flexibilă şi poate fi acordată la necesităţile fiecărei aplicaţii practice concrete;

SAPD cu microcalculator (calculator personal sau PC), în care caz funcţiile de control şi procesare numerică a datelor sunt preluate de un PC, căruia îi sunt adăugate extensiile hardware necesare achiziţiei de date şi controlului automat;

SAPD realizate sub formă de instrumente virtuale, care includ de asemenea un PC şi module de achiziţie, având la bază un software specific (ex.: LabVIEW) prin care se implementează funcţiile instrumentului şi interfaţa cu operatorul uman.

Fiecare din aceste structuri tipice de SAPD poate avea un nivel de complexitate variabil în

breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
Page 12: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

6

limite largi, începând de la cel minim necesar pentru a constitui un SAPD şi până la un nivel maxim care mai păstrează caracteristicile categoriei respective.

Următorul nivel de complexitate este caracteristic SAPD cu calculator, care pe lângă componentele de bază pot include structuri constituind ele însele SAPD.

Dacă limita inferioară a complexităţii SAPD poate fi definită, limita superioară constituie un orizont deschis tuturor posibilităţilor. SAPD pot fi organizate pe mai multe nivele ierarhice, cu structuri distribuite pe spaţii largi, începând de la nivelul interfeţei cu sistemul fizic de controlat şi până la cel mai înalt nivel managerial, acoperind toate laturile activităţii unei unităţi economice (producţie, aprovizionare, desfacere, personal, financiar-contabil, cercetare-dezvoltare etc.).

În mod firesc, abordarea unui SAPD trebuie să înceapă de la nivelul cel mai de jos, numit şi nivel zero, al interfeţei dintre SAPD şi sistemul fizic de gestionat. Acest nivel zero este determinat în proiectarea unui SAPD, deoarece impune datele iniţiale reprezentate prin mărimile de intrare şi de ieşire şi funcţiile pe care trebuie să le realizeze SAPD pentru a se asigura controlul direct al sistemului fizic gestionat. Mai departe, plecând de la acest nivel de bază comun tuturor SAPD, complexitatea poate fi dezvoltată pe mai multe nivele ierarhice de ordin superior, în funcţie de caz.

La acest nivel zero, incluzând achiziţia datelor de intrare şi distribuirea datelor de ieşire către sistemul fizic, datele vehiculate pot fi în format analogic sau numeric. Prin urmare, în această zonă de interfaţă au loc toate prelucrările analogice de semnal, inclusiv conversiile analog-numerice şi numeric-analogice, operaţii care ridică o serie de probleme specifice, cu rol determinat asupra performanţelor globale ale SAPD.

Depăşind această zonă de interfaţă, pe nivele ierarhice superioare ale unui SAPD problemele se mai simplifică, cel puţin datorită faptului că se operează numai cu date în format numeric, fiind implicate de regulă doar calculatoare interconectate între ele.

Din considerentele menţionate mai sus, în schema de principiu a unui SAPD, reprezentată în Fig.I.1, se pun în evidenţă principalelor funcţii tipice pentru un SAPD la nivelul interfeţei cu sistemul fizic, având în vedere şi faptul că aceste funcţii permit un grad de generalizare mai mare decât posibilităţile lor structurale de implementare.

Con

diţi

onar

ese

mna

le

Eşa

ntio

nare

-m

emor

are

Con

vers

iean

alog

-nu

mer

ică

Con

vers

ienu

mer

ic-

anal

ogică

SIS

TE

M D

E C

AL

CU

LC

U M

ICR

OP

RO

CE

SO

RS

AU

MIC

RO

CO

NT

RO

LE

R

Per

ifer

ice

(tas

tatu

ră e

tc.)

Inte

rfaţă

com

unic

aţie

date

Comenzi logiceSemnale analogice Comenzi analogice

Senzori şi traductoare Elemente de execuţie

SISTEM/PROCES FIZIC DE MONITORIZAT/CONTROLAT

Semnale logice

Echipamenteexterioare

Fig.I.1. Schema generală de principiu a unui SAPD elementar.

Page 13: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

7

Capitolul II

CIRCUITE DE CONDIŢIONARE A SEMNALELOR

II.1. SURSE DE SEMNAL AFERENTE SAPD

Sursele de semnal pentru un SAPD sunt în ultimă instanţă de natură electrică, semnalele neelectrice fiind convertite în semnale electrice cu ajutorul senzorilor şi traductoarelor, care pot îndeplini una sau mai multe din următoarele funcţii:

conversia unei mărimi nelectrice într-un semnal electric intermediar;

condiţionarea semnalului electric intermediar până la nivel de semnal unificat;

conversia analog-numerică şi procesarea numerică a datelor iniţiale. Din punct de vedere constructiv senzorii şi traductoarele pot avea o structură variabilă,

începând de la cea mai simplă cum ar fi de exemplu termorezistenţa sau termocuplul, pot include convertoare realizate cu componente discrete sau hibride sau pot fi realizaţi sub formă de convertoare integrate, cu ieşire analogică sau numerică, pentru acestea din urmă fiind deja consacrat termenul de senzor (traductor) inteligent.

Clasificarea senzorilor şi traductoarelor se poate face după mai multe criterii, cu rol determinant asupra structurii convertoarelor de intrare, dar şi de prelucrare a semnalelor, astfel: a) în funcţie de tipul mărimii electrice generate, având la bază clasificarea mărimilor de măsurat în

mărimi pasive şi mărimi active:

senzori parametrici, generând la ieşire o mărime electrică pasivă, de grad 0 sau de tip parametric (rezistenţă, inductivitate sau capacitate);

senzori/traductoare generatoare, generând la ieşire o mărime electrică activă, de grad 1 sau de tip intensitate (curent, tensiune sau sarcină);

b) în funcţie de forma de variaţie în timp a semnalului electric generat:

senzori/traductoare analogice, generând la ieşire un semnal analogic;

senzori/traductoare cu ieşire în impulsuri sau în frecvenţă;

senzori/traductoare cu ieşire numerică, compatibilă tot mai mult cu interfeţele de comunicaţie date, fiind o caracteristică definitorie pentru senzorii inteligenţi. Cunoaşterea caracteristicilor surselor de semnal pentru un SAPD este necesară pentru

proiectarea circuitelor de condiţionare a semnalelor de intrare, astfel încât să se asigure o compatibilitate cât mai largă cu circuitele de conversie analog-numerică.

II.2. CIRCUITE PASIVE DE CONDIŢIONARE A SEMNALELOR

O serie de semnale electrice prezintă o natură sau un nivel energetic care necesită sau permite o condiţionare parţială sau totală realizabilă cu circuitele electrice pasive. Din această categorie ar putea fi menţionate următoarele cazuri tipice:

condiţionarea tensiunilor de la un anumit nivel (0…1/2/5/10 V sau 1/2/5/10 V) în sus, cum ar

de exemplu tensiunea reţelei de c.a., când este de regulă suficientă doar o adaptare de nivel, realizabilă cu divizoare sau transformatoare de tensiune;

condiţionarea curenţilor de la un anumit nivel (0/4…20 mA) în sus, cum ar fi de exemplu

Page 14: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

8

curenţii consumaţii pe reţeaua de c.a. sau în diverse procese industriale, când este suficientă conversia în tensiune cu şunturi sau transformatoare de curent;

condiţionarea semnalelor de la senzorii parametrici, când pentru conversia în tensiune este suficient sau necesar iniţial un montaj potenţiometric sau în punte.

II.2.1. CIRCUIT DE CONDIŢIONARE POTENŢIOMETRIC

Montajul potenţiometric reprezintă soluţia cea mai simplă de conversie în tensiune a mărimii

de ieşire a unui senzor parametric rezistiv. Spre deosebire de montajul în punte, prezintă inconvenientul că variaţia utilă de semnal este suprapusă peste o componentă continuă de valoare considerabilă care trebuie eliminată ulterior.

În general senzorii pot fi plasaţi la diferite distanţe faţă de SAPD şi ca urmare trebuie luată în considerare şi influenţa conductoarelor de legătură. Pentru analiza montajului potenţiometric se utilizează schema din Fig.II.1, unde Rx reprezintă rezistenţa senzorului, RL – rezistenţele conductoarelor de conexiune, iar R – o rezistenţă de balast cu rol de rezistenţă de sarcină pentru senzor. Rezistenţa internă a sursei de alimentare Vc şi de intrare a convertorului care preia tensiunea v0 s-au neglijat, deoarece în practică sunt îndeplinite de regulă condiţiile necesare în acest sens.

Vc

RL

Rx RL

R

v0

Fig.II.1. Circuit de condiţionare potenţiometric.

Din Fig.II.1 se observă că rezistenţa echivalentă văzută la bornele montajului potenţiometric are expresia:

Lxx 2RRR , (II.1)

corespunzătoare unui senzor echivalent care ar include şi rezistenţa conductoarelor de legătură. În absenţa mărimii neelectrice de intrare sau pentru o valoare de referinţă a acesteia, cum ar fi

de exemplu 0C pentru temperatură, senzorul prezintă o valoare de repaus Rx0, pentru care tensiunea

de ieşire înregistrează valoarea corespunzătoare V0:

cx0

x0c

Lx0

Lx00 2

2V

RR

RV

RRR

RRV

, (II.2)

unde reprezintă rezistenţa de repaus a senzorului echivalent conform (II.1). x0R

În prezenţa mărimii neelectrice de intrare sau la modificarea acesteia faţă de valoarea de referinţă considerată, apare o variaţie de rezistenţă a senzorului faţă de valoarea de repaus, care produce o variaţie corespunzătoare a tensiunii de ieşire:

cxx0

xx0c

xx0

xx0000 V

RRR

RRV

RRR

RRvVv

, (II.3)

unde, conform (II.1), s-a avut în vedere că , deoarece RL = const. xx RR

Din (II.3) se observă că tensiunea de ieşire conţine o componentă constantă de repaus V0,

peste care apare suprapusă variaţia de tensiune v0, generată de Rx:

Page 15: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

9

cx0

x0

xx0

xx0000 V

RR

R

RRR

RRVvv

. (II.4)

Dacă se introduce notaţia: x0RRk , (II.5)

(II.4) poate fi exprimată într-o formă mai convenabilă, astfel:

c

x0

x0

x0

x2

0

1

11

1V

R

R

k

R

R

k

k

v

. (II.6)

a) Eroarea de neliniaritate. (II.6) reflectă o eroare de neliniaritate datorită faptului că variaţia utilă de rezistenţă a senzorului intervine şi la numitor. Explicitând această eroare conform expresiei:

x0

xn

1

11

11

R

R

k

, (II.7)

(II.6) devine:

cnx0

x20 1

1V

R

R

k

kv

. (II.8)

b) Eroarea de atenuare. Din cauza rezistenţei finite a conductoarelor de legătură, conform (II.1), variaţia relativă de rezistenţă a senzorului echivalent rezultă mai mică decât a senzorului efectiv,

deoarece Rx se raportează nu la Rx, ci la Rx + 2RL, ceea ce are ca efect reducerea sensibilităţii

senzorului, conform expresiei:

x0Lx0

x

Lx0

x

x0

x

21

1

2 RRR

R

RR

R

R

R

. (II.9)

Dacă se exprimă eroarea de atenuare ca un factor de pierderi:

x0La 21

11

RR , (II.10)

(II.8) devine:

cnax

x20 11

1V

R

R

k

kv

. (II.11)

Se observă că sensibilitatea depinde de raportul k, a cărui valoare optimă pentru sensibilitate maximă este k = 1, deci (II.11) devine:

cnax

x0 11

4

1V

R

Rv

. (II.12)

În aplicaţii practice sunt posibile o serie de simplificări în (II.12). De exemplu, dacă distanţa senzor – SAPD este mică, rezistenţa conductoarelor de legătură poate avea un efect neglijabil,

deoarece condiţia RL Rx0 conduce la a 0. În alte cazuri, cum ar fi mărcile tensometrice

care prezintă variaţii foarte mici de rezistenţă sau funcţionarea senzorilor pe intervale reduse de

variaţie, este posibil de îndeplinit condiţia Rx Rx0 + 2RL şi astfel eroarea de atenuare devine

neglijabilă. Dacă ambele condiţii menţionate mai sus sunt îndeplinite simultan, (II.12) devine:

cx0

x0 4

1V

R

Rv

. (II.13)

breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
Page 16: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

10

c) Eroarea suplimentară cu temperatura. Influenţa temperaturii se manifestă asupra obiectului de măsurat alterând măsurandul şi asupra circuitului de măsurare provocând variaţia rezistenţei senzorului şi a conductoarelor de legătură. Neglijând efectul temperaturii asupra neliniarităţii şi atenuării şi considerându-l numai pe cel asupra sensibilităţii, din (II.8) se poate calcula variaţia suplimentară a tensiunii de ieşire generată de variaţia cu temperatura a rezistenţelor menţionate:

cLx

L

Lx

x20 2

2

21V

RR

R

RR

R

k

kv

, (II.14)

unde indicele se referă la variaţia mărimilor respective cu temperatura.

II.2.2. CIRCUIT DE CONDIŢIONARE ÎN PUNTE

Schema unui montaj în punte este reprezentată în Fig.II.2, unde s-a păstrat intact montajul potenţiometric împreună cu notaţiile aferente pentru a evidenţia trecerea la montajul de punte.

Rx

v0 Vc

RL

RL

R R1

R2v0 V0

Fig.II.2. Circuit de condiţionare în punte.

Din Fig.II.2 se observă că puntea a rezultat prin completarea montajului potenţiometric (Fig.II.1) cu divizorul R1, R2, dimensionat astfel încât în repaus (absenţa măsurandului) puntea să

fie la echilibru, adică v0 = V0 sau v0 = 0. Condiţia de echilibru se exprimă transcriind (II.5) astfel:

21x0 RRRRk . (II.15)

În aceste condiţii, considerând (II.1) şi (II.2), la echilibru este valabilă relaţia:

cx0

x0c

21

200 V

RR

RV

RR

RVv

. (II.16)

Cu observaţiile de mai sus, considerând rezistenţele punţii (R, R1 şi R2) ideale, toate concluziile studiului asupra montajului potenţiometric, exprimate analitic prin (II.3)…(II.14), rămân perfect valabile în aceleaşi condiţii şi pentru montajul în punte.

Dacă sensibilitatea este aceeaşi în ambele cazuri, totuşi puntea este superioară calitativ deoarece, spre deosebire de montajul potenţiometric unde componenta utilă a tensiunii de ieşire,

v0, este suprapusă peste tensiunea de repaus V0, echivalentă cu o tensiune de decalaj, montajul în

punte elimină acest decalaj, furnizând la ieşire numai componenta utilă v0. În cazul montajului

potenţiometric decalajul V0 poate anulat pe convertorul care preia tensiunea de ieşire. Un alt avantaj al montajului în punte este acela că permite conectarea senzorului nu numai

prin 2, ci şi prin 3, 4 sau 6 conductoare de legătură, soluţii care permit reducerea aproape integrală a influenţei rezistenţei conductoarelor. Spre exemplificare, se prezintă în Fig.II.3 conexiunea cu trei conductoare. În acest caz, ideea de bază este plasarea a câte unui conductor de legătură în două braţe adiacente ale punţii, al treilea fiind în serie cu tensiunea de ieşire sau de alimentare. În aceeaşi ordine de idei, dacă condiţiile din mediul de măsurare permit, se mai poate utiliza şi soluţia de plasare a uneia din rezistenţele punţii în exterior împreună cu senzorul.

breniucliviu
Highlight
breniucliviu
Highlight
Page 17: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

11

În Fig.II.3 se observă că 2 dintre conductoarele de legătură apar în braţele punţii constituite de R şi Rx, iar al 3-lea apare în serie cu tensiunea de ieşire. Efectul conductoarele din braţele punţii, inclusiv variaţia lor cu temperatura, se compensează reciproc, iar efectul conductorului aflat în serie cu tensiunea de ieşire este neglijabil deoarece curentul de ieşire este practic zero. A doua variantă de conexiune prin trei conductoare se poate obţine dacă în Fig.II.3 se inversează locul tensiunii de alimentare cu cel al tensiunii de ieşire. În ambele cazuri se obţin rezultate optime dacă este îndeplinită condiţia R1 = R2 = R.

Vcv0

RL

Rx RL

R1

R2

RRL

Fig.II.3. Conectarea senzorului la punte prin trei conductoare.

În afară de montajul potenţiometric, pentru senzorii rezistivi mai pot fi realizată conversia în tensiune şi prin aplicarea pe senzor unui curent constant, utilizându-se conexiunea dipolară sau cuadripolară, situaţie în care circuitul de condiţionare nu mai introduce neliniaritate proprie. În cazul punţilor, pentru creşterea sensibilităţii şi reducerea neliniarităţii, în afară de puntea cu un singur braţ activ (senzor) se mai pot utiliza în cazul senzorilor diferenţiali sau dacă măsurandul prezintă variaţii de sens contrar şi punţi cu 2 sau 4 braţe active care includ senzori. Din aceleaşi considerente se poate opta pentru alimentarea punţii cu curent constant, în loc de tensiune constantă.

II.3. AMPLIFICATOARE PENTRU SAPD

II.3.1. AMPLICATOARE OPERAŢIONALE

Amplificatorul operaţional (AO) este un circuit integrat de bază, indispensabil în majoritatea aplicaţiilor care implică prelucrarea analogică a semnalelor, regăsindu-se inclusiv în structura circuitelor de eşantionare-memorare, conversie analog-numerică şi numeric-analogică.

Vd

Av

BI

BI

Zd Zc Z0

IN+

IN

OUT

v

v

v0 v+

v0 = A(v+ v) = Av

Fig.II.4. Schema echivalentă a unui amplificator operaţional real.

breniucliviu
Highlight
Page 18: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

12

AO este un amplificator diferenţial constituit din mai multe etaje de amplificare, realizate cu tranzistoare bipolare sau cu efect de câmp, fiind caracterizat în regim static de parametrii principali

conform schemei echivalente, unde Vd reprezintă tensiunea de decalaj la intrare (10-25 V…1-2

mV), , curenţii de intrare (0,003…200 nA), A – amplificarea (104…107), Zd – impedanţa de

mod diferenţial (108…1012 ), Zc – impedanţele de mod comun (109…1012 ), Z0 – impedanţa de

ieşire (zeci-sute de ). În plus, în funcţie de aplicaţie, mai pot fi consideraţi şi parametrii de

zgomot care în schema echivalentă pot fi reprezentaţi printr-o sursă tensiune de zgomot (vn) în serie

cu Vd şi două generatoare de curent de zgomot ( , ) plasate în paralel cu , .

BI

BI

ni

ni

BI

BI

AO nu se utilizează în buclă deschisă decât ca comparator. În rest, AO se utilizează în buclă închisă, adică cu reacţie negativă în aplicaţiile de amplificare sau cu reacţie pozitivă în oscilatoare. În cazul schemelor cu reacţie este foarte complicat să se ia în considerare toţi parametrii reali ai unui AO, motiv pentru care se operează cu noţiunea de AO ideal. Prin idealizare se neglijează toţi

parametrii AO real, considerând A, Zd, Zc = şi Vd, , , , , Z0 = 0, deoarece pe de o parte

valorile parametrilor AO real permit aşa ceva, iar pe de altă parte reacţia negativă acţionează în acelaşi sens. În cazul în care, într-o anumită aplicaţie, unul sau mai mulţi parametrii ai AO real devin critici şi nu pot fi neglijaţi, se consideră în calcul, pe rând, numai câte unul şi apoi se sumează efectele, în baza principiului metodei superpoziţiei sau suprapunerii efectelor.

BI

BI ni

ni

În regim dinamic, în principiu, toţi parametrii AO real depind de frecvenţa de lucru. În general, AO prezintă în buclă deschisă o caracteristică amplificare-frecvenţă de tipul cu un singur pol, plasat de regulă la frecvenţa de 5 Hz, conform Fig.II.5. Pentru estimarea benzii de frecvenţă în buclă închisă se utilizează parametrul numit bandă de frecvenţă la amplificare unitară sau produs amplificare bandă. De exemplu, dacă banda de frecvenţă la amplificare unitară este 1 MHz, iar amplificarea în buclă închisă este abî = 10, rezultă banda în buclă închisă 1 MHz / 10 = 100 kHz.

140

120

100

80

60

40

20

00 10 100 1k 10k 100k 1M 10M

Am

plif

icar

ea –

a(f

) d

B

Frecvenţa – f Hz

buclă deschisă

buclă închisă

Fig.II.5. Caracteristica tipică amplificare-frecvenţă a AO.

În concluzie, exceptând funcţia de comparator, în restul aplicaţiilor AO se utilizează în buclă închisă. Privind funcţia de amplificare sunt consacrate 4 configuraţii tipice de amplificatoare cu AO: inversor, neinversor, repetor şi diferenţial. În continuare se prezintă doar primele trei configuraţii de bază, conform Fig.II.6, urmând ca amplificatorul diferenţial să fie tratat la pct.II.3.2.1.

breniucliviu
Highlight
breniucliviu
Highlight
breniucliviu
Highlight
Page 19: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

13

+

-

R2

R1

vx v0

(a) – neinversor

+

-

vx v0

(c) – repetor(a) – inversor

+

-

R1 R2

v0vx

Fig.II.6. Configuraţii de amplificatoare cu AO.

AO ideal este marcat cu simbolul , semnificând A = . Deci amplificarea fiind considerată

infinită rezultă tensiune diferenţială zero. Altfel spus intrările AO ideal sunt echipotenţiale. În aceste condiţii curenţii prin R1, R2 fiind egali (curenţii de intrare ai AO ideal sunt nuli), rezultă următoarele expresii pentru tensiunile de ieşire, respect pentru factorii de amplificare a celor 3 configuraţii:

(a) – inversor: 1

2

x

0x

1

20

2

0

1

x 0R

R

v

vAv

R

Rv

R

v

R

v ; (II.17)

(b) – neinversor: 1

2x

1

20

21

0

1

x 1 1 R

RAv

R

Rv

RR

v

R

v

; (II.18)

(c) – repetor: 1 x0 Avv . (II.19)

Un alt parametru importannt care poate fi estimat direct din Fig.II.6 este rezistenţa de intrare,

(Rin), care are valorile: Rin = R1 pentru montajul inversor, Rin Zc pentru montajul neinversor şi Rin

= Zc pentru montajul repetor. Montajul repetor este un caz limită de amplificator neinversor (R1 =

), care având A = 1 se utilizează în scopul asigurării unei impedanţe mari de intrare.

II.3.2. AMPLIFICATOARE DE INSTRUMENTAŢIE

Amplificatorul de instrumentaţie (AI) este destinat pentru aparatura de măsurare. Condiţia esenţială care trebuie îndeplinită de un amplificator pentru a intra în categoria AI este ca intrare să fie diferenţială. Această condiţie este necesară pentru a se asigura rejecţia tensiunilor de mod comun, care pot fi tensiuni parazite sau care apar implicit atunci când se efectuează diferenţa a două tensiuni de semnal, cum ar cazul măsurării tensiunii de dezechilibru dintr-o punte (pct.II.2.2). AI este un ansamblu constituit din etaje de amplificare diferenţiale, realizate cu reţele rezistive de precizie, calibrate şi stabile, prevăzute în mod obligatoriu cu reacţie negativă, care poate fi de tensiune sau de curent. AI cu reacţie negativă de tensiune pot fi realizate ca structuri, având la bază AO, cu componente discrete sau sub formă de circuite hibride cu amplificare variabilă prin comenzi electrice. Spre deosebirea de acestea, AI cu reacţie negativă de curent sunt realizate numai sub formă de circuite integrate (monolitice) cu amplificare de asemenea variabilă. Din aceste considerente, în cele ce urmează, vor fi analizate numai AI cu reacţie negativă de tensiune. II.3.2.1. Amplificatorul diferenţial

Amplificatorul diferenţial (AD) este cea mai simplă structură de amplificator cu AO care îndeplineşte condiţia impusă AI, să aibă intrare diferenţială. Celelalte configuraţii de amplificatoare cu AO (inversor, neinversor şi repetor, pct.II.3.21), având o singură intrare de semnal, cealaltă fiind conectată la masă sau în bucla de reacţie, nu asigură rejecţia tensiunilor de mod comun. Totuşi sunt utilizate şi acestea în cadrul SAPD, dar pentru alte operaţii care nu necesită AI.

Page 20: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

14

Schema de principiu a AD este reprezentată în Fig.II.7. Constituind un bloc de bază pentru AI, trebuie determinat şi raportul de rejecţie al modului comun (RRMC). În cazul amplificatoarelor se obişnuieşte exprimarea RRMC funcţie de amplificarea pe mod diferenţial (A) şi de amplificarea pe mod comun (Ac), definite astfel:

v

vA 0 , respectiv

c

0c v

vA , (II.20)

unde v0 este tensiunea de ieşire, v – tensiunea diferenţială de intrare, iar vc – tensiunea de mod comun. Considerând (II.20) expresia RRMC rezultă:

c0

c0c

A

A

Av

Av

v

vRRMC , (II.21)

deci determinarea RRMC presupune cunoaşterea factorilor A şi Ac.

+

- A1

v0

R1

R2

R4

R3v2 v1

Fig.II.7. Schema de principiu a amplificatorului diferenţial.

Considerând A1 ideal (A = , Vd = 0, = = 0), pentru calculul amplificării este

convenabil să se utilizeze faptul că intrările AO ideal sunt echipotenţiale:

BI

BI

21

1202

43

41 RR

Rvvv

RR

Rv

, (II.22)

adică:

1

22

1

21

43

410 R

Rv

R

RR

RR

Rvv

. (II.23)

Pentru ca amplificatorul să fie diferenţial şi a se asigura compensarea efectului curenţilor de intrare ai AO, trebuie îndeplinite condiţiile:

1

2

1

21

43

4

R

R

R

RR

RR

R

; 3412 RRRR ; (II.24)

din care rezultă:

42

31

RR

RR

şi 21211

20 vvAvv

R

Rv , deci

1

2

21

0

R

R

vv

vA

. (II.25)

Plecând de la RRMC al A1, RRMCA, trebuie calculat RRMC pentru AD. În acest scop se

consideră v1 = v2 = vc, iar conform definiţiei RRMC se consideră la intrarea A1 (punctul în

Fig.II.7) o tensiune echivalentă serie, ve, conform relaţiei:

A43

4ce

1

RRMCRR

Rvv

. (II.26)

Cu observaţiile de mai sus, (II.22) devine:

21

1c0c

A43

4c

43

4c

1

RR

Rvvv

RRMCRR

Rv

RR

Rv

. (II.27)

Page 21: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

15

Exprimând rapoartele de rezistenţe în funcţie de k = R2/R1 = R4/R3, unde k = A, din (II.27) rezultă amplificarea tensiunii de mod comun, Ac, conform relaţiei:

AAc

0c RRMC

A

RRMC

k

v

vA , (II.28)

care împreună cu (II.21) conduce la expresia RRMC pentru AD:

Ac

RRMCA

ARRMC , (II.29)

deci RRMC al AD este egal cu RRMCA al AO utilizat. RRMC al AD este afectat de abaterea rezistenţelor de la condiţia de calcul (II.25) datorită

toleranţelor. Pentru a estima cantitativ acest aspect se consideră v1 = v2 = vc şi RRMCA = (valoare

corespunzătoare unui AO ideal din punct de vedere al RRMC) şi se introduc notaţiile:

; 1 şi 13

42

1

21 k

R

Rkk

R

Rk (II.30)

care aplicate în (II.23) conduc la expresia:

c2

12c0 11

2

1v

k

k

k

kkvv

, (II.31)

deci:

11

2

c

0c k

k

v

vA , (II.32)

iar raportul de rejecţie calculat funcţie de toleranţele rezistenţelor, RRMCR, rezultă:

2

11

CcR

k

A

A

A

kRRMC . (II.33)

Pentru << 1, (II.33) poate fi aproximată printr-o formă mai simplificată, astfel:

2

1

2

1R

AkRRMC . (II.34)

Deci RRMCR este direct proporţional cu amplificarea pe mod diferenţial şi invers proporţional

cu abaterea rezistenţelor de la valorile de calcul. Pentru k = 1 şi = 0,1% (R/R = 0,05%) rezultă

RRMCR = 1000 sau 60 dB, valoare deseori insuficientă. De exemplu o tensiune de mod comun de 10 V generează pe mod diferenţial o tensiune echivalentă de 10 mV care se suprapune peste

tensiunea utilă. Astfel, nu pot fi valorificate performanţele AO care prezintă RRMCA 120 dB.

Amplificatorul diferenţial prezintă o serie de dezavantaje care îi limitează utilizarea cum ar fi:

impedanţele de intrare sunt de valoare redusă şi diferită: R1 la intrarea inversoare şi (R3 + R4) la intrarea neinversoare, fapt ce afectează negativ rejecţia de mod comun;

reglare dificilă a amplificării, fiindcă presupune reglarea cel puţin a unei perechi de rezistenţe, pentru a respecta condiţiile (II.24) sub forma (II.25 ), având în vedere că abaterea rezistenţelor nu afectează doar amplificarea, ci şi rejecţia de mod comun.

O soluţie eficientă de mărire şi egalizare a impedanţei de intrare este adăugarea unor repetoare de tensiune la intrare. Aceste repetoare permit şi conectarea unor inele sau ecrane de gardă pentru intrările de semnal, conform Fig.II.8, unde s-a considerat conectarea surselor de semnal prin cabluri coaxiale. Pe de altă parte conectarea ecranelor de gardă la ieşirea repetoarelor menţine o diferenţă de potenţial nulă între ecranul cablului şi conductorul central şi elimină astfel posibilitatea închiderii unor curenţi paraziţi prin capacitatea parazită sau rezistenţa de izolaţie a cablului coaxial.

Page 22: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

16

+

-

+

-

+

- 2R

A1

v0

R1 R2

v2

v1

A2

A3

1R

Fig.II.8. Amplificatorului diferenţial cu repetoare la intrare.

Pe lângă intrare diferenţială un AI trebuie să mai prezinte şi impedanţe de intrare egale şi de valoare ridicată. În cazul AD această problemă s-a rezolvat cu repetoare de tensiune rezultând o structură de AI cu 3 AO, care prezintă un raport complexitate-performaţe mai redus decât alte soluţii cunoscute. Una din aceste soluţii, mai economică, o constituie varianta de bază a AI realizată cu 2 AO, iar o altă soluţie, mai performantă, o constituie varianta clasică de AI realizată cu 3 AO.

II.3.2.2. Amplificator de instrumentaţie – varianta cu 2 AO

Conform schemei de principiu din Fig.II.9, acest tip AI este constituit din două etaje neinversoare, primul cu referinţa la masă, iar al doilea cu referinţa la ieşirea celui dintâi (v01).

+

-

+

-A1 A2

R1 R2 R3 R4

v0v1v2

v01

Fig.II.9. Amplificator de instrumentaţie – varianta cu două AO.

Deoarece intrările AO ideal sunt echipotenţiale, se pot scrie relaţiile:

11

201 1 v

R

Rv

, respectiv 010

43

3012 vv

RR

Rvv

, (II.35)

pe baza cărora rezultă expresia tensiunii de ieşire în funcţie de v1 şi v2:

3

4

1

212

3

40 11

R

R

R

Rvv

R

Rv

. (II.36)

Impunând în (II.36) condiţia ca AI să fie diferenţial, adică să prezinte aceeaşi amplificare pe ambele intrări, rezultă:

4

3

1

2

R

R

R

R sau în particular 41 RR şi 32 RR , (II.37)

pe baza cărora (II.36) devine:

122

112

3

40 11 vv

R

Rvv

R

Rv

. (II.38)

Acest tip de AI, deşi are rezistenţă de intrare simetrică şi de valoare ridicată, nu are simetrie diferenţială completă, prezentând o serie de dezavantaje, cum ar fi:

Page 23: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

17

imposibilitatea de a funcţiona cu amplificare unitară (R4, R1 = 0 sau R3, R2 = ), motiv pentru

care amplificarea este limitată uzual la valoarea Amin = 5;

RRMC în c.a. redus, datorită faptului că la intrările amplificatorului A2 tensiunile v1 şi v2 ajung cu defazaje sau întârzieri inegale (v2 se aplică direct, iar v1 prin A1).

II.3.2.3. Amplificator de instrumentaţie – varianta cu 3 AO

Această variantă întruneşte complet condiţiile necesare pentru această categorie de amplificatoare, constituind modelul tipic de AI cu reacţie negativă de tensiune realizat cu AO în structură discretă sau hibridă. Acest AI provine din AD cu repetoare la intrare prin transformarea repetoarelor în amplificatoare neinversoare. Prin urmare, conform schemei de principiu din Fig.II.10, este constituit dintr-un etaj neinversor simetric de intrare realizat cu A1, A2 şi un etaj diferenţial realizat

cu A3. Considerând AO ideale şi , rezultă amplificarea etajului de intrare A1, A2: 11 RR

R

R

R

RRR

vv

vvA 111

21

221112

21

. (II.39)

+

-

+

-

+

-

3R

2R

1R

v0

v2

v1

A1

A2

A3

R2 R3

R1

R

v11

v22

Fig.II.10. Amplificator de instrumentaţie – varianta cu trei AO.

Având în vedere (II.39) şi expresia amplificării AD (II.25), se obţine:

21212

310

21 vvAvv

R

R

R

Rv

, deci

2

3121

R

R

R

RA

. (II.40)

În acest caz amplificarea poate fi modificată fără efecte secundare negative, acţionând numai asupra etajului de intrare prin reglarea unei singure rezistenţe (R). Pentru calculul RRMC se poate observa că pentru un semnal de mod comun amplificarea etajelor de intrare A1 şi A2 este egală cu unitatea, fiindcă ambele devin repetoare, în timp ce pentru un semnal pe mod diferenţial amplificarea acestor etaje are valoarea (1+2R1/R), care de regulă este supraunitară. Ca urmare, pe mod comun (pentru v1 = v2 = vc) intervine numai amplificare AD care pe baza (II.28) are expresia:

32

3

c

0c

1

RRMCR

R

v

vA , (II.41)

unde RRMC3 se referă la AO din structura AD (A3). Cunoscând amplificările pe mod diferenţial şi comun (II.40) şi (II.41), se obţine expresia RRMC pentru acest tip de AI:

31

c

21 RRMC

R

R

A

ARRMC

. (II.42)

Deci RRMC al AI cu trei AO creşte faţă de cel al AD proporţional cu amplificarea etajelor de intrare (1+ 2R1/R), fiind de preferat ca aceasta să aibă valoare cât mai mare.

Page 24: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

18

Deoarece în cazul AI este necesară de regulă amplificare variabilă, în Fig.II.11se prezintă o soluţie concretă în acest sens aplicată în fabricaţie de firma Burr-Brown.

RRMC

+

-

+

-

+

-

+

-

IN+

IN

GAIN

RG

D3 D2 D1 D0BAL. IN

BAL. OUT

OUTD3, D2

D1, D0

410k

220k

310k10k;3,3k;1,4k210k

4 BIŢIMEMORIEDECODORCOMANDĂ

A1

A2

A3 A4

Fig.II.11. Schema de principiu a amplificatorului instrumental BB 3606.

Faţă de schema minimală (Fig.II.10), în Fig.II.11 mai apare un etaj tampon de ieşire în configuraţie neinversoare (A4), posibil de utilizat separat sau împreună cu amplificatorul de bază, o serie de conexiuni electrice fiind la latitudinea utilizatorului. Amplificarea este prescrisă prin comandă numerică, utilizând 4 biţi (D3…D0) pentru comanda câştigului în 11 trepte organizate în progresie geometrică, între 1 şi 1024, atât pe etajul de intrare (A1, A2) prin comutarea rezistenţelor din reţeaua de reacţie sau conectarea unei rezistenţe externe RG, cât şi pe etajul de ieşire (A4). Amplificatorul BB 3606 mai este prevăzut cu borne exterioare pentru reglarea offsetului la intrare: BAL.IN şi la ieşire: BAL.OUT şi pentru legarea ecranelor de gardă: RRMC. Prin conexiuni externe se poate plasa un condensator în reţeaua de reacţie a etajului A4.

II.3.3. AMPLIFICATOARE CU IZOLARE GALVANICĂ

II.3.3.1. Principiul de construcţie şi funcţionare

AI fără izolare galvanică asigură rejecţia tensiunilor de mod comun specifice semnalelor interne SAPD, care sunt predictibile şi se pot încadra în parametrii de funcţionare ai AI. În cazul tensiunilor parazite exteriore, acestea atingând valori de kV sunt necesare AI cu izolare galvanică (AIG). AIG prezintă un etaj de intrare şi unul de ieşire, izolate galvanic între ele, pentru ca acestea să poată fi conectate la puncte de masă cu potenţiale mult diferite între ele. Transmiterea informaţiei între cele două părţi separate galvanic ale AIG se poate realiza prin câmp magnetic: cuplaj prin transformator sau optic: cuplaj prin optocuplor. Totodată, celor două părţi separate galvanic trebuie să li se transmită şi energie de alimentare, care se realizează de regulă prin transformator izolator. Schema de principiu a unui AIG este reprezentată în Fig.II.12, de unde se poate observa existenţa a trei blocuri distincte, izolate galvanic între ele:

(1), constituit din amplificatorul de intrare, A.IN şi blocul de alimentare de la intrare, BA.IN;

(2), constituit din amplificatorul de ieşire, A.OUT şi blocul de alimentare de la ieşire, BA.OUT;

(3), constituit dintr-o sursă de curent continuu, E şi un oscilator care transmite, prin intermediul transformatorului TR, energie de alimentare blocurilor BA.IN şi BA.OUT, asigurându-se separarea galvanică şi din acest punct de vedere.

Page 25: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

19

vx

n3

n1

n2 BA.OUT

OSCILATOR E

3

OUTA.IN

BA.IN

IN v0

21

A.OUT

TR

Fig.II.12. Schema de principiu a amplificatorului cu izolare galvanică.

Soluţiile tehnice pentru transmiterea a informaţiei de măsurare între A.IN şi A.OUT sunt:

modularea în durată sau în frecvenţă a unor impulsuri, cu semnalul de intrare şi transmiterea acestora către A.OUT prin transformator sau prin cuplaj optic;

modularea în amplitudine a unor purtătoare sinusoidale, cu semnalul de intrare şi transmiterea lor către A.OUT prin transformator;

transmiterea nemodulată a semnalului de intrare, amplificat în prealabil cu A.IN, către A.OUT prin cuplaj optic.

Izolaţia dintre cele trei blocuri este proiectată să reziste la tensiunile:

între blocul (1) şi blocurile (2) sau (3): 2…5 kV;

între blocul (2) şi blocul (3): 0,3…1 kV. Impedanţa de izolaţie dintre cele 3 blocuri reprezintă o rezistenţă de izolaţie în paralel cu o

capacitate parazită, cu valoarea tipică de 1012 10-15 pF. Ca urmare, la AIG, pe lângă RRMC se

mai defineşte şi raportul de rejecţie al modului de izolare, RRMI, care exprimă cantitativ efectul tensiunilor care apar pe izolaţia dintre blocuri:

tensiunea aplicată izolaţiei RRMI = 20lg

tensiunea rezultată la ieşire[dB]. (II.43)

Diferenţa dintre modul de acţiune al tensiunii de mod comun şi al celei aplicate izolaţiei, cât şi evaluarea RRMC şi RRMI sunt ilustrate în Fig.II.13.

vc

vx

viz

R2

R1

R1

R2

+v0

vx

R2

R1

R1

R2

+

vc

RRMC

viz

RRMI

v0

(a) (b)

A.IN A.OUT A.IN A.OUT

Fig.II.13. Ilustrarea definiţiei pentru RRMC şi pentru RRMI.

Page 26: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

20

Conform Fig.II.13, tensiunea de ieşire este dată de următoarea relaţie:

RRMI

v

R

R

RRMC

vvv iz

1

2cx0

. (II.44)

II.3.3.2. AIG cu modulare în durată şi cuplaj prin transformator

În Fig.II.14 este reprezentată schema de principiu a unui AIG, funcţionând pe principiul modulării impulsurilor în durată, care utilizează un singur transformator de cuplaj atât pentru transmiterea energiei de alimentare, cât şi pentru transmiterea informaţiei de măsurare de la intrare la ieşire. Se pot recunoaşte uşor cele 3 blocuri izolate galvanic între ele, conform schemei generale din Fig.II.12.

vx v0

DEMODIN

DEMODOUT

GEN IMPULS

MOD

E

A0Ai

C1

n1

n7

n3

n5

n2

n4

n6

C2

D1

D2

D3

D4

C3

C4

V+ V V+ V

TR

+ -

Fig.II.14. Schema de principiu a AIG cu transformator.

Frontul negativ al impulsurilor de la generator, GEN.IMPULS, sincronizează modulatorul, MOD şi cele două demodulatoare: de intrare, DEMOD.IN şi de ieşire, DEMOD.OUT. Faţă de acest front MOD produce un puls negativ aplicabil înfăşurării n2, cu o anumită întârziere dependentă de semnalul de intrare, conform Fig.II.15.

vi

= kvx

t

ALIMENTARE

MODULARE

Fig.II.15. Principiu de transmitere a energie de alimentare şi a semnalului util.

Întârzierea , conform Fig.II.15, constituie informaţia de măsurare şi este demodulată de către

cele două demodulatoare prin intermediul înfăşurărilor n6 şi n7. Tensiunea furnizată de demodulatorul de intrare este aplicată amplificatorului de intrare, Ai, ca reacţie negativă, iar tensiunea furnizată de demodulatorul de ieşire este aplicată amplificatorului de ieşire, A0, fiind disponibilă ca semnal de ieşire.

II.3.3.3. AIG fără modulare şi cuplaj prin optocuplor

Aceste tip de AIG se utilizează când este necesară o bandă de frecvenţă mai mare, iar precizia, liniaritatea şi stabilitatea nu sunt critice, prezentând avantajul simplităţii şi a unui gabarit mai redus.

Pentru a se elimina neliniaritatea optocuploarelor, datorată diodei electroluminiscente (LED)

Page 27: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

21

se utilizează scheme cu reacţie, cu două optocuploare identice sau unul constituit dintr-o sursă de lumină şi două fotodetectoare (fototranzistoare, fotodiode, fotorezistenţe), conform Fig.II.16.

La borna neinversoare a amplificatorului Ai este valabilă relaţia:

T12

r1

1

x iR

V

R

v

; (II.45)

iar la borna inversoare a amplificatorului A0 se poate scrie relaţia:

T23

r2

4

0 iR

V

R

v

. (II.46)

+

-

+

-

iD

R1 Ai

r1V

vx v0

R2 R3R4

A0

FT1 FT2D

r2V

iT1 iT2

Fig.II.16. Schema de principiu a AIG cu optocuplor.

Presupunând că factorii de transfer în curent, k1 şi k2, de la dioda LED, D, la cele două fototranzistoare, FT1 şi FT2, sunt identici:

D

T22

D

T11 i

ik

i

ik , (II.47)

rezultă iT1 = iT2, fapt care având în vedere (II.45) şi (II.46), conduce la expresia:

3

r2

2

r14x

1

40 R

V

R

VRv

R

Rv . (II.48)

Dacă este îndeplinită condiţia:

3

r2

2

r1

R

V

R

V

, rezultă x1

40 v

R

Rv . (II.49)

Curenţii prin R2 şi R3 sunt necesari pentru a se asigura funcţionarea cu semnale negative de intrare, deoarece curenţii prin FT1 şi FT2 sunt unidirecţionali. Pentru acesta este necesar ca valoarea curenţilor prin R2 şi R3 să fie mai mare decât curenţii prin R1 şi R4. Totodată, prin prepolarizarea fototranzistoarelor se poate plasa punctul static şi intervalul de funcţionare într-o porţiune mai restrânsă, deci mai liniară, a caracteristicii de transfer, îmbunătăţindu-se astfel performanţele.

II.3.4. AMPLIFICATOARE CU CHOPPER

Amplificatoarele cu chopper (întrerupător periodic, modulator, vibrator etc.) sunt destinate

aplicaţiilor în care sunt necesare performanţe deosebite în c.c (tensiune de decalaj, curenţi de intrare, derivele lor cu temperatura şi zgomot de valori reduse), tipică fiind măsurarea tensiunilor de

mică valoare (mV…V). Dacă amplificatoarele fără chopper pot atinge pentru tensiunea de decalaj

valori minime de 10-25 V, cele cu chopper pot prezenta valori maxime de 1 V.

Page 28: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

22

În categoria amplificatoarelor cu chopper intră trei variante: amplificatoare cu chopper clasice, amplificatoare cu auto-zero şi amplificatoare stabilizate cu chopper. II.3.4.1. Amplificator cu chopper – varianta clasică (ACH)

Amplificatorul cu chopper numit şi amplificator cu modulare-demodulare este prima variantă din această categorie, utilizată de peste cinci decenii. Principiul de funcţionare al ACH este ilustrat în Fig.II.17. Tensiunea continuă de intrare, vx, este convertită în impulsuri dreptunghiulare cu factor de umplere 1/2, v1(t), cu modulatorul constituit din comutatorul S1, amplificată cu amplificatorul de c.a., A împreună cu condensatoarele de cuplaj C2, C3, demodulată cu S2 şi filtrată cu C4, RL, unde RL reprezintă rezistenţa de sarcină sau rezistenţa de intrare a blocului următor.

Grupurile R1, C1 şi C4, RL constituie 2 filtre trece-jos, primul pentru tensiunea de intrare, iar al doilea pentru extragerea componentei medii a tensiunii de ieşire, a cărei valoare rezultă:

xchxdm0 vAvAkkv , (II.50)

unde Ach reprezintă amplificarea globală, km, kd – coeficienţii de transfer în tensiune ai modulatorului şi respectiv demodulatorului, iar A – amplificarea amplificatorului A. Performanţele ACH sunt dictate de modulatorul de intrare realizat cu tranzistoare FET. Frecvenţa de comutaţie fiind de 200…500 Hz, constanta de timp a filtrului trece-jos de ieşire rezultă de ordinul a zeci-sute de milisecunde.

vx C2S1 S2

AC3 C4C1

R1 R2

RL v0

1

2

1

2

OSCILATOR

v1 v2 v3 v4

(a) – schema de principiu

(b) – forme de undă caracteristice

v1(t)

t

vx(t)

t t

v2(t)

t

v3(t) = Av2 v4(t)

t

v0(t)

t

Fig.II.17. Principiul de funcţionare al ACH – varianta clasică.

Deşi asigură tensiune de decalaj redusă, ACH varianta clasică prezintă ca dezavantaje intrare nediferenţială şi bandă de frecvenţă redusă (de ordinul Hz), acestea fiind eliminate de variantele cu auto-zero şi stabilizate cu chopper, astfel încât ACH a devenit un circuit integrat versatil ca şi AO.

II.3.4.2. Amplificator cu auto-zero (AAZ)

Amplificatoarele AAZ prezintă 2 faze de funcţionare, una în care se compensează tensiunea de decalaj la intrare şi alta în care se efectuează amplificarea semnalului.

AS1

v0vx

S2S3

Cz

Vd+

-

Fig.II.18. Principiul operaţiei de auto-zero.

Page 29: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

23

Principiul operaţiei de auto-zero este reprezentat în Fig.II.18, unde s-a considerat un amplificator ideal din punct de vedere al decalajului, cu amplificarea, A, finită, tensiunea de decalaj, Vd, fiind plasată în exteriorul lui. Comutatoarele S1, S2 şi S3 sunt comandate în contratimp cu un semnal de tact. Pentru starea din Fig.II.18 (S1 deschis şi S2, S3 închise) A funcţionează în faza de auto-zero, fiind deconectat de la tensiunea de intrare vx şi conectat la masă ca repetor, prin urmare tensiunea pe condensatorul de auto-zero Cz, egală cu tensiunea de ieşire v0, va reprezenta tensiunea de decalaj, care în cazul amplificării finite are expresia:

d0z 1V

A

AvV

. (II.51)

Pentru starea inversă a comutatoarelor (S1 închis şi S2, S3 deschise), A funcţionează în faza de amplificare, tensiunea de ieşire având expresia:

A

VvA

A

VvAVVvAv d

xd

xzdx0 1, (II.52)

deci tensiunea echivalentă de decalaj la intrare a fost redusă la valoarea Vd/A (A 1).

Pe lângă această soluţie de principiu, AAZ pot include şi alte soluţii mai evoluate pentru efectuarea operaţiei de auto-zero, un exemplu fiind reprezentat în Fig.II.19, unde A1 este amplificatorul principal, iar A2 este amplificatorul pentru auto-zero, A1 şi A2 reprezentând amplificările. Cele două amplificatoare mai prezintă intrări adiacente de anulare a tensiunii de

decalaj, cu amplificările (+B1) pentru A1 şi (B2) pentru A2.

Şi în acest caz AAZ are două faze de funcţionare, dar acestea nu mai reprezintă faza de amplificare şi faza de auto-zero, deoarece faza amplificare este continuă, ci se referă la fazele de compensare a tensiunilor de decalaj a celor două amplificatoare.

+

-

+

-

v0vx

S2S1

C2

Vd1

OSCILATOR

A2

1

A1

B2

+B1

Vd2

C1

2

1

2

Fig.II.19. Schema de principiu a unui AAZ.

În faza de auto-zero pentru A2, comutatoarele S1 şi S2 sunt pe poziţia 2, intrările A2 sunt conectate împreună iar ieşirea este conectată la C2. Prin urmare, A2 măsoară propria tensiune de decalaj care este memorată pe C2 şi are valoarea dată de expresia:

C22d22C2 VBVAV sau d22

2C2 1

VB

AV

. (II.53)

În a doua fază, de auto-zero pentru A1, S1 şi S2 sunt pe poziţia 1, intrările A2 sunt conectate în paralel cu intrările A1 iar ieşirea A2 este conectată la C1. În acest caz, A2 amplifică VC2 cu factorul

(B2) şi (vx + Vd2) cu factorul (+A2) şi tensiunea lui de ieşire este memorată pe C1, valoarea acestei

tensiuni, considerând (II.53), având expresia:

C22d2x2C1 VBVvAV sau

2

d2x2

2

d2x2C1 1 B

VvA

B

VvAV , (II.54)

Page 30: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

24

deci tensiunea efectivă de decalaj pentru A2 are valoarea Vd2/B2 (B2 1). Totodată, VC1 este

utilizată pentru compensarea tensiunii de decalaj a amplificatorului principal, A1, pentru a cărui tensiune de ieşire, considerând (II.54), rezultă expresia:

C11d1x10 VBVvAv sau 2

21d21d1211x0 1 B

ABVAVABAvv

. (II.55)

În aplicaţiile practice se asigură de regulă condiţiile A1 = A2 şi B1 = B2 1, pe baza cărora

(II.55) se reduce la următoarea formă mai simplificată:

2

d2d1x22d2d12122x0 B

VVvBAVVAABAvv . (II.56)

Deoarece A2, B2 104, tensiunile de decalaj de ordinul mV ale ambelor amplificatoare sunt

reduse ca efect la ordinul μV, deci AAZ pot prezenta tensiuni de decalaj la intrare sub 1 V. În acest

caz frecvenţa maximă de lucru este limitată 1/2 din frecvenţa de comutaţie, deci superioară ACH. II.3.4.3. Amplificator stabilizat cu chopper (ASCH)

Amplificatorul ASCH reprezintă soluţia prin care frecvenţa maximă de lucru nu mai depinde de frecvenţa de comutaţie a chopper-ului, iar performanţele în c.c sunt similare cu ale ACH. În acest scop se utilizează o schemă compusă dintr-un amplificator de bandă largă şi un ACH, Fig.II.20.

+

- v0vx

R1

A-Ac

R2

(a)

+

-

v0vx

A

-Ac

C1

C2 R2R1

(b)

Fig.II.20. Scheme de principiu ale ASCH.

În Fig.II.20(a) cele două amplificatoare, A de bandă largă şi Ac tip ACH sunt introduse într-o buclă de reacţie negativă globală, constituită din R1, R2. În acest caz, Ac îndeplineşte implicit funcţia de filtrare trece-jos, iar A poate realiza o filtrare trece-sus. Schemele practice concrete pot conţine şi componente suplimentare pentru realizarea operaţiilor de filtrare menţionate. În Fig.II.20(b) semnalul de intrare este separat în două componente amplificate pe căi diferite: componenta de joasă frecvenţă aplicată prin filtrul trece-jos, R1, C1, la intrarea Ac, iar componenta de înaltă frecvenţă aplicată prin filtrul trece-sus, C2, R2, la intrarea A. În ambele cazuri, dacă amplificările Ac şi A sunt suficient de mari, tensiunea echivalentă de decalaj la intrare a ASCH rezultă practic egală cu cea a ACH, iar frecvenţa maximă de lucru nu depinde de ACH, ci numai de amplificatorului A.

II.3.5. AMPLIFICATOARE DE SARCINĂ

Amplificatoarele de sarcină (AS) sunt necesare pentru condiţionarea semnalelor de la diverşi senzori capacitivi (de deplasare, microfoane cu condensator etc.) sau piezoelectrici (pentru măsurarea forţei, presiunii etc.) în regim dinamic.

În Fig.II.21(a) AS este conectat la un traductor capacitiv de capacitate totală C0+C,

prepolarizat cu o tensiune continuă Vp, C0 fiind capacitatea în regim static, iar C variaţia acesteia

sub acţiunea unei excitaţii mecanice, care produce o sarcină:

Page 31: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

25

CVq px . (II.57)

În Fig.II.21(b) este prezentat un amplificator de sarcină conectat la un traductor piezoelectric de capacitate proprie C0, care sub acţiunea unei forţe produce o sarcină:

FKq px , (II.58)

în care F este forţa, iar Kp constantă de proporţionalitate.

(a)

+

-

Vp

C0 Cvo

C

R

R

+

-

qx

C0R

vo

C

R

(b)

A A

Fig.II.21. Conectarea amplificatoarelor de sarcină la traductoare.

Rezistenţele R, de valoare ridicată, asigură închiderea curenţilor de intrare pentru A. Dacă

frecvenţa este suficient de mare, astfel ca RC 1, în ambele cazuri sarcina de la intrare se

transmite condensatorului C şi ca urmare tensiunea de ieşire a amplificatorului rezultă:

Cqv x0 . (II.59).

Dacă factorul de amplificare al A este suficient de mare, ca să poată fi considerat cazul ideal cu amplificare infinită, toată sarcina qx va fi transmisă condensatorului C, iar tensiunea de ieşire nu va fi influenţată de capacitatea proprie a traductorului sau de capacitatea cablului de conexiune. Pentru determinarea răspunsului în frecvenţă trebuie reprezentată schema echivalentă a AS. Circuitul de intrare în AS poate fi modelat printr-o sursă de tensiune, vx şi un condensator serie, C0, conform Fig.II.22. La frecvenţe înalte condensatorul C1 constituind un scurtcircuit, rezistenţele R nu mai apar în paralel cu condensatorul C. Pentru nodul constituit la intrarea neinversoare a amplificatorului A se poate scrie relaţia:

01x iii , (II.60)

care este valabilă şi pentru exprimarea curenţilor în formă operaţională, astfel:

0xx

x d

d

d

dC

t

v

t

qi , (II.61)

adică sub formă operaţională:

sVsCsI x0x . (II.62)

+

-

i1

C

2R

R

C0

vo

C1

R

vx

ix i

A

Fig.II.22. Schema echivalentă a amplificatorului de sarcină.

Page 32: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

26

În mod analog, se poate scrie forma operaţională şi pentru ceilalţi curenţi:

ssCVsI 0 ; (II.63)

sVRCsR

sI 01

1 21

1

2

1

. (II.64)

Considerând i1 i, pe baza (II.60)-(II.63), amplificarea în tensiune rezultă:

C

C

v

vA 0

x

0v , (II.65)

iar factorul de transfer în sarcină sau sensibilitatea în sarcină, este definită astfel:

CC

A

vC

v

q

vS

1

0

v

x0

0

x

0q . (II.66)

Limitarea răspunsului la frecvenţe înalte este determinată de scăderea amplificării A, care în cazul când acesta prezintă un singur pol, are forma:

0

0

1

s

asa . (II.67)

în care a0 este amplificarea în curent continuu, iar 0 pulsaţia polului. Limitarea răspunsului la

frecvenţe joase, având în vedere că C C1, este provocată de polul curentului I1(s):

RC110 2 , deci RCf 110 1 . (II.68)

O analiză completă şi riguroasă a comportării în frecvenţă a AS se poate efectua pe baza funcţiei de transfer obţinută prin prelucrarea detaliată a relaţiilor (II.60)-(II.64) şi (II.67), fără ca rezultatele finale să difere în mod esenţial de cele estimate conform (II.67) şi (II.68).

II.4. CIRCUITE DE CALCUL ANALOGIC

II.4.1. AMPLIFICATOARE SUMATOARE

Amplificatoarele sumatoare sunt necesare la efectuarea sumei algebrice a mai multor tensiuni. Circuitul cel mai simplu şi utilizat în acest scop este amplificatorul inversor. Considerând AO ideal, intrările AO rezultă echipotenţiale, intrarea inversoare fiind punct de masă virtuală constituie un punct de însumare a curenţilor, implicit şi a tensiunilor de la intrare, conform Fig.II.23.

+

-

R11

vo

vxn

vx2

vx1

R12

R1n

R2

:

i12

i11

i1n

i2

Fig.II.23. Schema de principiu a amplificatorului sumator.

Pe baza schemei de mai sus pot fi scrise realţiile:

02n11211 iiii sau 02

0

1n

xn

12

x2

11

x1

R

v

R

v

R

v

R

v, (II.69)

Page 33: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

27

de unde, dacă R11 = R12 = = R1n = R1, se obţine:

xnx2x11

2xn

n1

2x2

12

2x1

11

20 vvv

R

Rv

R

Rv

R

Rv

R

Rv

, (II.70)

unde (vx1 + vx2 + … + vxn) este o sumă algebrică de tensiuni.

II.4.2. INTEGRATOARE ŞI DIFERENŢIATOARE

Circuitele pentru realizarea operaţiilor de integrare şi diferenţiere a unui semnal electric sunt realizate tot pe baza amplificatorului inversor, cu deosebirea că reţeaua de reacţie nu mai este pur rezistivă, ci constituită din impedanţe cu structura adecvată realizării fiecărei operaţii menţionate. De regulă, una din impedanţe este pur rezistivă, iar cealaltă este o combinaţie RC serie sau paralel.

II.4.2.1. Integratoare

Schema de principiu a unui circuit integrator este reprezentată în Fig.II.24(a). Dacă se consideră AO ideal şi condensatorul C fără pierderi, funcţia de transfer rezultă:

x0

1v

RCjv

. (II.71)

În domeniul timp, dacă condiţiile iniţiale sunt nule, tensiunea de ieşire are expresia:

t

0

x

t

0

0 d1

d1

tvRC

tiC

v . (II.72)

Pentru un semnal treaptă vx = V, rezultă o rampă v0 = Vt/RC cu neliniaritatea dependentă de

rezistenţa de pierderi a C. Pentru durate mari de integrare, C trebuie să aibă curenţi de fugă reduşi

+

-

vo

vx

RC

(a)

+

-

vo

vx

R

CR1

(b)

+

-

vo

vx

C

C1R

R1 R1

(c)

Fig.II.24. Schema de principiu a circuitelor de integrare.

Însă principala sursă de erori a integratoarelor o constituie erorile statice ale AO (tensiunea de decalaj şi curenţii de intrare), care, acţionând ca o componentă continuă conectată permanent la intrarea integratorului, au ca efect variaţia continuă a tensiunii de ieşire până la intrarea în saturaţie a AO. Prin urmare, timpul maxim de integrare, pentru o precizie dată, este limitat de generatoarele statice de eroare ale AO, a căror efect poate fi minimizat prin metodele clasice de compensare. O

primă soluţie în acest sens este asigurarea unei căi ocolitoare de închidere a curentului ,

constituită din rezistenţa R1 conform Fig.24.II(b). O altă soluţie mai eficientă este prezentată în Fig.24.II(c), unde bucla de reacţie negativă constituită de rezistenţele R1 intervine numai la frecvenţe joase, la frecvenţe înalte fiind întreruptă de condensatorul C1.

BI

O primă sursă de erori dinamice este banda finită a AO, care provoacă apariţie unei întârzieri în răspunsul la semnal treaptă. Pentru semnale de frecvenţă şi amplitudine mare mai intervine viteza maximă de variaţie a tensiunii de ieşire (Slew Rate) şi valoarea maximă a curentului de ieşire al AO.

Page 34: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

28

II.4.2.2. Diferenţiatoare

Schema de principiu a unui circuit pentru realizarea operaţiei de derivare a unui semnal analogic este reprezentată în Fig.II.25(a). Dacă AO este ideal şi C fără pierderi, funcţia de transfer rezultă:

x0 RCvjv . (II.73)

În domeniul timp tensiunea de ieşire are expresia:

t

vRCv x

d

d0 . (II.74)

Circuitul de bază din Fig.25.II(a) are o serie de neajunsuri. Datorită amplificării mari la frecvenţe înalte apare un zgomot de înaltă frecvenţă ce poate acoperi semnalul diferenţiat şi totodată circuitul prezintă o pronunţată tendinţă de instabilitate. Din acest motiv, în montajele practice se introduce un pol în expresia amplificării în buclă închisă, prin conectarea unui rezistenţe în serie cu condensatorul de derivare, conform Fig.25.II(b). În aceste condiţii banda de funcţionare a

circuitului de derivare este limitată inferior de frecvenţa zeroului z = 1/RC şi superior de frecvenţa

polului p = 1/R1C. După depăşirea frecvenţei polului, C nu mai intervine, amplificarea fiind R/R1.

+

-

vo

vx

C

R

(a) (b)

+

-

vo

R

vx

C R1

Fig.II.25. Schema de principiu a circuitelor de derivare.

II.4.3. AMPLIFICATOARE LOGARITMICE ŞI EXPONENŢIALE

II.4.3.1. Principiul de funcţionare

Pentru reprezentări logaritmice, compresia semnalelor şi o serie de transformări de tipul x , x2,

x1 , xy, x/y etc., se utilizează convertoare cu caracteristică logaritmică sau exponenţială, bazate pe

caracterul exponenţial al relaţiei curent-tensiune prezentat de o diodă (joncţiune) semiconductoare:

1expT

dSd v

vIi , (II.75)

unde id şi vd sunt curentul şi tensiunea directă pe diodă, IS – curentul invers de saturaţie, vT = kT/q –

tensiunea termică (k = constanta Boltzman, T – temperatura absolută, q – sarcina electronului), iar

– un factor de multiplicare cu valoarea 2 pentru siliciu. Dacă vd = 400-700 mV, astfel ca exp(vd/vT)

106-1010 1, se poate neglija unitatea în (II.75) şi aceasta poate fi transcrisă sub forma:

T

dSd exp

v

vIi sau

S

dTd ln

I

ivv , (II.76)

Relaţia exponenţială (II.76) îşi păstrează forma şi în cazul unui transistor, pentru curentul de colector şi tensiunea bază-emitor:

T

BECSC exp

v

vIi sau

CS

CTBE ln

I

ivv , (II.77)

Page 35: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

29

unde iC este curentul de colector, ICS – curentul rezidual de colector, vBE – tensiunea bază-emitor. Introducând dioda sau tranzistorul în reţeaua de reacţie a unui AO, se pot obţine

amplificatoare logaritmice sau exponenţiale. În practică se preferă de regulă schemele cu tranzistoare conectate în montaj transdiodă (tensiune colector-bază egală cu zero), conform Fig.II.26, acestea prezentând performanţe superioare celor cu diode.

(a)

+

-R

vx v0

iC

(b)

+

-

vx v0

RiC

Fig.II.26. Amplificatoare logaritmice şi exponenţiale.

Considerând AO ideal, pe baza (II.77) rezultă expresiile tensiunii de ieşire:

Fig.II.26(a): CS

xTBE0 ln

RI

vvvv . (II.78)

Fig.II.26(b):

T

xCSC0 exp

v

vRIRiv . (II.79)

Schemele de principiu din Fig.II.26 prezintă deficienţe inacceptabile în practică, din cauza termenilor vT şi ICS puternic dependenţi de temperatură. Din acest motiv se impun măsuri corespunzătoare de compensare a acestor dependenţe.

II.4.3.2. Amplificatoare logaritmice şi exponenţiale compensate

Pentru compensarea erorilor introduse de termenii vT şi ICS se utilizează încă o pereche tranzistor-AO, astfel ca în loc de o tensiune absolută vBE, să intervină diferenţa a 2 tensiuni vBE care conduce la logaritm din raport. Schema unui amplificator logaritmic compensat este reprezentată în Fig.II.27.

+

-

+

-

R1

vx

v0

Vr

R2

C1

C2Q1 Q2

RED1

A1

A2

R3 R4+

+

Fig.II.27. Amplificator logaritmic compensat.

Considerând Q1 şi Q2 izoterme, pentru schema din Fig.II.27 se pot scrie relaţiile:

; ln

; ln

CS22

rTBE2

CS11

xTBE1

IR

Vvv

IR

vvv

şi BE1BE2

40 vv

Rv 43 RR ; (II.80)

Page 36: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

30

a căror prelucrare conduce la expresia explicită a tensiunii de ieşire:

CS2

CS1

1

2

r

xT

4

430 lnln

I

I

R

R

V

vv

R

RRv . (II.81)

Relaţia (II.81) reflectă următoarele aspecte:

R4 trebuie să aibă un coeficient de variaţie cu temperatura de +3300 ppm/C, astfel încât

termenul vT(R3 + R4)/R4 să rezulte independent de temperatură;

spre deosebire de ICS, raportul ICS1/ICS2 este practic independent de temperatură şi diferă de unitate în funcţie de gradul de împerechere a celor două tranzistoare; în plus, acest raport poate fi adus la valoare unitară prin reglarea R2 sau Vr.

În condiţiile de mai sus, (II.81) poate fi simplificată la forma:

x1

2

r

x

1

2

r

xT

4

430 lglgln v

R

R

V

v

R

R

V

vv

R

RRv

, (II.82)

dacă se asigură prin proiectare vT(R3 + R4)/R4 = 0,4343 = lg(e) şi VrV = R2/R1.

În schema din Fig.II.27, C1 şi C2 asigură stabilitatea etajelor A1, Q1 şi A2, Q2, dioda D1 protejează joncţiunile bază-emitor ale Q1 şi Q2 la tensiuni inverse, iar RE asigură închiderea şi limitarea curenţilor de emitor ai Q1 şi Q2. Dacă A1 şi A2 au curenţi la intrare reduşi, iar Q1 şi Q2 sunt realizate sub formă de pereche monolitică, o astfel de schemă poate funcţiona într-o gamă de curent de intrare de 10 nA - 1 mA (5 decade), cu eroarea de 0,5-1%. În prezent, astfel de circuite sunt realizate sub formă integrată, în care toate problemele pot fi soluţionate optim. Având în vedere că funcţiile logaritm şi exponenţială sunt funcţii inverse şi aplicând aceleaşi principii, condiţii şi măsuri de compensare unui amplificator exponenţial, rezultă schema practică reprezentată în Fig.II.28.

+

-

+

-

R1

vx

v0Vr

R2

C1C2 Q1Q2

RE D1 A1A2

R3

R4

Fig.II.28. Amplificator exponenţial compensat.

Inversând între ele simbolurile tensiunilor de intrare şi de ieşire, (II.80)-(II.82) conduc la expresia tensiunii de ieşire a amplificatorului exponenţial:

x100vv . (II.83)

II.4.4. MULTIPLICATOARE ŞI DIVIZOARE ANALOGICE

Multiplicatorul analogic este un bloc electronic realizat sub formă de circuit integrat, circuit hibrid sau circuit cu componente discrete, care furnizează la ieşire o tensiune proporţională cu produsul a două tensiuni de intrare, conform Fig.II.29.

Page 37: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

31

k

vvv 21

0 v1

v2

Fig.II.29. Schema de principiu a unui multiplicator analogic.

Pentru ca expresia tensiuni de ieşire, v0, să fie corectă dimensional, factorul de scară, k, trebuie să aibă dimensiunea unei tensiuni şi este asigurat printr-o tensiune de referinţă, VR. Iar pentru ca valoarea nominală a tensiunii de ieşire să fie de 10 V, având in vedere că vx, vy au valoarea nominală tipică de 10 V, trebuie ca VR = 10 V. În aceste condiţii, funcţia de transfer a unui multiplicator ar putea fi exprimată astfel:

R

210 V

vvv , adică este de forma

3

210 v

vvv . (II.84)

Din (II.84) se observă că orice multiplicator analogic ar putea realiza implicit şi funcţia de divizor analogic. Totul depinde de faptul dacă există acces la VR şi dacă multiplicatorul poate funcţiona cu VR variabilă. În funcţie de principiul de funcţionare al multiplicatorului, tensiunea VR poate fi internă, utilizatorul având acces doar la un reglaj de factor de scară sau poate fi exterioară, situaţie în care multiplicatorul poate funcţiona şi cu VR variabil, chiar dacă într-o gamă dinamică mai redusă (1/2…1/5), deci multiplicatorul poate realiza implicit şi funcţia de divizor analogic.

Multiplicatoarele şi divizoarele analogice sunt circuite electronice cu gamă variată de aplicaţii, în afara operaţiilor aritmetice propriu-zise, cum ar fi:

circuite de calcul analogic ( ky , xzkxy , serii de puteri etc.);

măsurarea puterii şi energiei electrice, precum şi a valorii efective;

generatoare de semnal, filtre active şi amplificatoare controlate prin tensiune;

multiplicatoare de frecvenţă, detectoare sincrone şi sensibile la fază, demodulatoare pentru modulaţii în frecvenţă, circuite cu calare de fază etc.

Există numeroase metode de realizare a multiplicării analogice care ar putea sta la baza unor circuite de multiplicare, cele mai reprezentative fiind:

multiplicatoare cu transconductanţă variabilă, care pot asigura precizie de 0,5-1% şi bandă de frecvenţă de min. 1 MHz (sunt constituite din etaje diferenţiale simetrice cu tranzistoare bipolare, la care curentul de colector este comandat prin tensiunea bază-emitor, funcţia de multiplicare rezultând ca o consecinţa a relaţiei exponenţiale curent-tensiune caracteristică joncţiunii bază-emitor);

multiplicatoare cu sumare logaritmică, cu o precizie comparabilă cu primele sau mai bună, dar

cu o bandă de frecvenţă inferioară (100 kHz) (în principiu, sunt constituite din amplificatoare

logaritmice şi exponenţiale funcţionând, în forma cea mai simplă şi sugestivă, conform relaţiei: Y = exp(logA + logB) = AB;

multiplicatoare cu modulare amplitudine-durată, care realizează precizia cea mai bună (0,1%), în detrimentul benzii de frecvenţă (kHz-zeci de kHz).

În funcţie de combinaţia de semne acceptată pentru tensiunile de intrare, multiplicatoarele analogice pot funcţiona în unul, 2 sau 4 cadrane. Multiplicatoarele cu sumare logaritmică nu pot funcţiona decât într-un singur cadran, iar celelalte 2 variante pot funcţiona în 2 sau 4 cadrane.

Page 38: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

32

Pentru aplicaţii de precizie, caracteristice domeniului măsurărilor electrice, cele mai adecvate sunt multiplicatoarele cu modulare amplitudine-durată. Multiplicarea prin modulare amplitudine-durată se bazează pe faptul că aria unui impuls de tensiune, respectiv valoarea medie a unui tren de impulsuri, este proporţională cu produsul între durata şi amplitudinea impulsurilor. Astfel, dacă amplitudinea impulsurilor este făcută proporţională cu o tensiune, v1, iar durata cu o altă tensiune, v2, valoarea medie va fi proporţională cu produsul celor două tensiuni. Principiul metodei de multiplicare amplitudine-durată este ilustrat în Fig.II.30.

(b)

v+v1

v1

tT1 T2

(a)

MD

+v1 v0

v2

FTJv1

S1 v

Fig.II.30. Principiul multiplicatorului cu modulare amplitudine-durată.

Comutatorul S1 este comandat de către modulatorul duratei, MD, impulsurile aplicate la intrarea filtrului trece-jos, FTJ, având forma din Fig.II.30(b). Astfel, la ieşirea FTJ se obţine valoarea medie a acestor impulsuri, dată de relaţia:

1

TT

0 21

21

210

21

d1

vTT

TTtv

TTv

. (II.85)

Dacă se realizează dependenţa:

k

v

TT

TT 2

21

21

, (II.86)

se obţine:

k

vvv 21

0 . (II.87)

Impulsurile generate de MD trebuie să satisfacă (II.86), iar k reprezintă o tensiune de referinţă. În general, pentru modularea impulsurilor în durată se utilizează o tensiune triunghiulară obţinută cu un circuit electronic adecvat.

II.5. CIRCUITE DE FILTRARE ANALOGICĂ

II.5.1. CONSIDERAŢII GENERALE

Filtrarea analogică este operaţia prin care se modifică banda de frecvenţă a unui semnal cu ajutorul unor circuite numite filtre analogice. Un filtru analogic poate fi privit ca un cuadripol cu funcţia de transfer dependentă de frecvenţă într-o manieră particulară, conform Fig.II.31, Fig.II.32.

Filtruanalogic

vx v0

Fig.II.31. Reprezentarea unui filtru analogic sub formă de cuadripol.

Page 39: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

33

H()

(a)

H()

(c)

H()

(c)

H()

(d)

Fig.II.32. Categoriile de filtre analogice: (a) – trece-jos; (b) – trece-sus; (c) – trece-bandă; (d) – opreşte-bandă.

În domeniul frecvenţei funcţia de transfer este dată de caracteristica de frecvenţă complexă:

)(

x

0 )()(

)()(

jeHjV

jVjH , (II.88)

unde H() reprezintă caracteristica de amplitudine în funcţie de frecvenţă, iar () – caracteristica

de fază în funcţie de frecvenţă. Reprezentarea grafică a acestor două tipuri de caracteristici constituie diagramele Bode. În funcţie de efectul pe care îl au asupra benzii de frecvenţă a semnalului util, există patru categorii de filtre analogice, conform Fig.II.32.

În cadrul SAPD filtrarea analogică este necesară în mai multe scopuri, cele mai uzuale exemple fiind următoarele:

filtrare trece-jos – pentru rejecţia perturbaţiilor suprapuse peste semnalul util sau limitarea benzii semnalului la o valoare determinată, în vederea operaţiei de eşantionare-memorare, numită şi filtrarea anti-alising;

filtrare trece-sus – pentru blocarea componentei continue sau eliminarea unor componente de joasă frecvenţă;

filtrare trece-bandă – pentru amplificare selectivă sau demultiplexarea semnalelor multiplexate în frecvenţă;

filtrare opreşte-bandă – pentru blocare unor componente perturbatoare, cum ar fi de exemplu cele provenite din reţeaua de c.a. (multiplu de 50 Hz);

Filtrele analogice se pot realiza în general cu reţele RC, LC sau LC. În domeniul de frecvenţă specific SAPD se utilizează numai filtre cu reţele RC, deoarece bobinele ar necesita miez, rezultând gabaritice şi incomod de utilizat.

Filtrele analogice pot fi pasive, incluzând numai reţele RC sau active la care reţelele RC sunt introduse în reţeaua de reacţie a unor AO. Evident, filtrele active deşi prezintă şi unele dezavantaje comparativ cu cele pasive, pe ansamblu predominând avantajele, cu unele excepţii, sunt preferate în majoritatea aplicaţiilor.

Teoria filtrelor active fiind complexă şi voluminoasă, este imposibil de tratat complet în acest context. Pe de altă parte, în cadrul SAPD cea mai frecventă utilizare o au filtrele trece-jos. Din aceste motive, în cele ce urmează se va face doar o scurtă introducere în domeniul filtrelor active trece-jos, având în vedere şi faptul că aceasta poate servi ca punct de plecare pentru abordarea celorlalte categorii de filtre active.

Page 40: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

34

II.5.2. FILTRE ACTIVE TRECE-JOS

II.5.2.1. Frecvenţe caracteristice unui filtru trece-jos (FTJ)

Caracteristica amplitudine-frecvenţă a unui FTJ este reprezentată în Fig.II.33, unde s-a considerat un filtru cu câştig unitar în banda de trecere. Se observă că se pot delimita trei benzi de frecvenţă caracteristice unui filtru real:

banda de trecere – cuprinsă între = 0 şi pulsaţia de tăiere la 3 dB, 0, reprezintă banda utilă a

filtrului, unde câştigul este aproximativ constant;

banda de tranziţie – cuprinsă între 0 şi pulsaţia corespunzătoare atenuării maxime în banda

de tranziţie, unde câştigul scade cu un multiplu de 20 dB/decadă, în funcţie de ordinul filtrului;

banda interzisă – cuprinsă între şi infinit, unde câştigul tinde la zero.

H()

0

IdealReal

Pantă = multiplude 20 db/decadă,în funcţie deordinul filtrului

0 dB

3 dB

dB

Bandăde tranziţie

Bandăde trecere

Bandăinterzisă

Fig.II.33. Caracteristica amplitudine-frecvenţă a unui filtru trece-jos.

Din Fig.II.33 se poate observa că comportarea unui filtru real se apropie cu atât mai mult de cea a un filtru ideal, cu cât este de ordin mai mare (are un număr mai mare de poli), deci panta este mai abruptă, iar atenuarea în banda de tranziţie este mai mică.

Prin filtrare se produce o alterare a spectrului semnalului util, care poate fi exprimată sub forma unei erori. În aplicaţiile practice filtrele se proiectează având în vedere un compromis optim între complexitate (număr de poli) şi eroarea introdusă asupra semnalului util ca urmare a filtrării.

II.5.2.2. Filtre active trece-jos de ordinul întâi

Filtrele active trece-jos de ordinul întâi sunt constituite dintr-un filtru pasiv, RC, asociat cu un AO în regim de amplificator neinversor sau inversor, conform Fig.II.34.

+

-

R1 R2

C

v0vx

R

+

-

C R1

R2

vx v0

(a) (b)

Fig.II.34. Filtre active trece-jos de ordinul întâi: (a) – cu amplificator neinversor; (b) – cu amplificator inversor.

Page 41: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

35

Expresia funcţiei de transfer are următoarea formă:

(a)

jeH

RCjR

R

V

VjH

1

11)(

1

2

x

o ; (II.89)

(b)

jeH

CRjR

R

V

VjH

21

2

x

o

1

1)( ; (II.90)

de unde se pot determine caracteristicile amplitudine funcţie de frecvenţă şi fază funcţie de

frecvenţă şi frecvenţa de tăiere la 3 dB, 0:

(a) 21

2

1

11)(

RCR

RH

; RCarctg ;

RC

10 ; (II.91)

(b) 221

2

1

1)(

CRR

RH

; CRarctg 2 ;

CR20

1 . (II.92)

II.5.2.3. Filtre active trece-jos de ordinul doi

Filtrele active trece-jos de ordinul 2 sunt constituite dintr-o reţea RC şi un AO, într-o structură cu reacţii multiple. Pentru ca filtrul să fie de ordinul 2 (să prezinte 2 poli) reţeaua RC trebuie să conţină 2 condensatoare. De regulă se utilizează structuri universale pe baza cărora, prin alegerea adecvată a naturii impedanţelor din structura lor se pot obţine filtre trece-jos, trece-sus şi trece bandă. Pentru filtrele trece-jos de ordinul 2 schema tipică, necesitînd un număr minim de componente, o constituie filtrul Sallen and Key, reprezentat în Fig.II.35. Repetorul poate fi înlocuit cu un amplificator neinversor obţinut prin conectarea intrării inversoare la o reţea de reacţie (R1, R2, Fig.II.34).

+

-

C1

v0

R1 R2

C2

vx

Fig.II.35. Filtre active trece-jos de ordinul doi, tip Sallen and Key.

Filtrele trece-jos de ordinul 2 respectă teoria generală a sistemelor de ordinul 2. Pentru proiectare se pleacă de la expresia funcţiei de transfer:

20

02

20

212121

2

2121

11

1

)(

sQ

sCCRR

sCR

s

CCRRsH , (II.93)

unde 0 este frecvenţa de tăiere la 3 dB şi Q – factorul de calitate/selectivitate conform expresiilor:

2121

0

1

CCRR şi

12

21210 CR

CRCRQ . (II.94)

Pentru ca funcţia de transfer să prezinte rădăcini valide, se impune condiţia:

QC

C4

2

1 . (II.95)

Valorile optime sunt: R1 = R2, rezultă C1 = 2QC şi C2 = C/2Q, pentru Q 10.

Page 42: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

36

II.5.2.4. Filtre active trece-jos de ordin superior

Filtrele active trece-jos de ordin mai mare decât 2 se realizează conectând în cascadă celule de filtrare de ordinul întâi şi 2. Astfel, filtrele de ordin impar vor fi constituite dintr-o celulă de ordinul întâi şi mai multe celule de ordinul 2, iar cele de ordin par numai din celule de ordinul 2. Există mai multe tipuri de filtre de ordin superior clasificate şi denumite după tipul polinoamelor care descriu funcţia de transfer, cum ar fi: filtre Butterworth, Thomson (Bessel), Chebyshev, eliptice (Cauer). De exemplu, pentru filtrele active trece-jos Butterworth forma generală a funcţiei de transfer este următoarea:

sBV

VsH

nx

0 1 , (II.96)

unde Bn(s) este polinomul Butterworth de ordinul n, având expresia:

1... 12-n

2-n1-n

1-n sasasassB n . (II.97)

În funcţie de paritatea ordinului filtrului, acest polinom poate fi descompus în factori primi de ordinul întâi şi doi, astfel:

par; pentru 2

la până ... 11

impar; pentru 2

1 la până ... 111

22

12

22

12

n

nn

sbssbs

nn

sbssbsssB (II.98)

Factorii primi de ordinul întâi sau doi corespund celulelor de filtrare de ordinul respectiv din structura filtrului. Coeficienţii b1, b2 … sunt cunoscuţi ca valoare numerică şi în funcţie de aceştia se calculează componentele RC.

Răspunsul în frecvenţă este definit prin modului funcţiei de transfer:

n

jH2

01

1

, (II.99)

unde 0 reprezintă frecvenţa de tăiere.

Ca toate celelalte circuite electronice şi filtrele active sunt disponibile şi sub formă de circuit integrat, inclusiv ca filtre universale, până la ordinul 8. Parametrii funcţionali pot fi prescrişi în diferite moduri (prin conexiuni, componente exterioare sau frecvenţă de tact pentru cele cu capacităţi comutate) pe baza unor relaţii de calcul date în catalog sau pot fi programaţi prin software de către un sistem de calcul cu microprocesor.

II.6. CONVERTOARE TENSIUNE-CURENT ŞI CURENT-TENSIUNE

II.6.1. CONVERTOARE TENSIUNE-CURENT

Un convertor tensiune-curent (C/U-I) este un generator de curent comandat. C/U-I sunt utile la realizarea generatoarelor de tensiune liniar-variabilă, a convertoarelor tensiune-frecvenţă şi la transmiterea semnalelor pe linii lungi, în sarcini inductive sau în sistemele de curenţi unificaţi. Ca suport pentru transmiterea informaţiei de măsurare, spre deosebire de tensiune, curentul este practic neafectat, între anumite limite, de perturbaţiile serie.

După sensul curentului de ieşire, convertoarele tensiune-curent pot fi sistematizate pe două categorii distincte: unidirecţionale şi bidirecţionale.

Page 43: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

37

II.6.1.1. Convertoare tensiune-curent unidirecţionale

Aceste C/U-I pot fi realizate cu performanţe bune prin asocierea unui AO cu un tranzistor unipolar (cu efect de câmp) sau bipolar, conform Fig.II.36.

+

-

vx

ccV

i0

Rs

ZL

Fig.II.36. Convertor tensiune-curent unidirecţional.

Neglijând erorile statice ale AO, considerat ideal (A = ∞, , Vd = 0), pe baza

Fig.II.36 se poate se pot scrie relaţiile:

0-bb II

0sx iRv , rezultând sx0 Rvi , (II.100)

deci precizia şi stabilitatea sunt determinate de rezistenţa Rs. Parametrul caracteristic al unui C/U-I este rezistenţa de ieşire, R0, care trebuie să aibă o

valoare cât mai mare (valoare infinită în cazul ideal). Calculul acesteia se poate face pe baza schemei echivalente a C/U-I, conform relaţiei:

dssmdssms0 11 ArRgrARgRR , (II.101)

unde A reprezintă amplificarea AO, considerată finită, gm – conductanţa mutuală şi rds – rezistenţa

drenă-sursă a tranzistorului cu efect de câmp. De exemplu, pentru gm = 1 mA/V, Rs =1 k, rds = 20

k şi A = 50000, rezultă R0 =1G, valoare suficient de mare pentru a putea avea vreo importanţă.

Pentru aplicaţii mai modeste tranzistorul cu efect de câmp poate fi înlocuit cu un tranzistor

bipolar cu suficient de mare. De asemenea, pentru curenţi mai mari se poate utiliza un dublet sau

triplet de tranzistoare, cu intrarea pe tranzistor cu efect de câmp sau bipolar. În cazul în care atât tensiunea de intrare, vx, cât şi sarcina, ZL, trebuie să aibă o bornă conectată la masă, se poate utiliza oglinda de curent cu AO prezentată în Fig.II.37, pentru care curentul de ieşire este:

2

x

3

1

3

110 R

v

R

R

R

iRi . (II.102)

+

-

+

-

vx

ccV

i0Q1

R1

A1

ZL

Q2

A2

R2

R3

Fig.II.37. Convertor tensiune-curent cu sarcina conectată la masă.

Pe principiul schemelor din Fig.II.36 şi Fig.II.37 pot fi concepute şi alte scheme care să funcţioneze cu tensiuni negative sau să furnizeze curenţi de sens contrar. În unele aplicaţii pot fi

Page 44: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

38

necesare surse de curent comandate cu ieşiri multiple. Acestea se pot realiza cu tranzistoare bipolare conform principiului din Fig.II.38. Neglijând curenţii de bază comparativ cu curenţii de colector şi considerând egale între ele tensiunile bază-emitor ale tranzistoarelor Q1, Q2 şi Q3, rezultă:

1

x1 R

vi ; 1

2

1

2

x2 i

R

R

R

vi ; 1

3

12

3

2

3

x3 i

R

Ri

R

R

R

vi . (II.103)

+

-vx

i1

Q1

R1

Q2

R2 R3

Q2

i2 i3

Fig.II.38. Generator de curent comandat, cu ieşiri multiple.

II.6.1.2. Convertoare tensiune-curent bidirecţionale

Un C/U-I se poate realiza foarte simplu pentru sarcini flotante, conectând impedanţa de sarcină în locul rezistenţei de reacţie R2 a unui amplificator inversor, conform pct.II.3.1, Fig.II.6.a. Curentul prin sarcină este egal cu vx/R1 şi este limitat la valoarea maximă a curentului de ieşire al AO.

Pentru sarcini cu o bornă de conectare la masă, această problema se complică. Un astfel de C/U-I bidirecţional este reprezentat în Fig.II.39.

v1

+

-

vx

i1

R1

R2

R4 i2

i0

R5

v2

R3

ZL

v0

vA

Fig.II.39. Convertor tensiune-curent bidirecţional.

Pentru calculul curentului de ieşire se consideră AO ideal şi v2 = 0, deci v1 = vx. În aceste condiţii, tensiunea la intrarea IN+ a AO are expresia:

043

3 vRR

Rv

, (II.104)

iar tensiunea pe intrarea IN a AO este dată de relaţia:

;

;

2505021

1

21

2x

x2505021

1x

iRiRvRR

R

RR

Rv

viRiRvRR

Rvv

(II.105)

unde curentul i2 este dat de relaţia:

43

02 RR

vi

. (II.106)

Page 45: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

39

Având în vedere că v+ = v, din (II.104), (II.105) şi (II.106) se obţine:

5

0

431

54132

1

2

5

x0 R

v

RRR

RRRRR

R

R

R

vi

. (II.107)

Dacă este îndeplinită condiţia: R1 = R3 şi R2 = R4 + R5, (II.108)

din (II.107), rezultă:

1

2

5

x0 R

R

R

vi . (II.109)

Pentru determinarea rezistenţei de ieşire, în Fig.II.39 se consideră vx = 0, iar dacă la ieşire se

aplică o sursă de tensiune de test: vt = v0, apare un curent de sens contrar: it = i0. Astfel, dacă în

(II.107) se face vx = 0, se obţine expresia rezistenţei de ieşire:

5

32541

431

0

00 R

RRRRR

RRR

i

vR

. (II.110)

Rezistenţa de ieşire reflectă dependenţa curentului generat de tensiunea de ieşire, adică de rezistenţa de sarcină, provocată de abaterea rezistenţelor de la valorile de calcul, datorită toleranţelor şi instabilităţii. Dacă acest aspect se exprimă astfel:

kR

R

1

2 ; kR

R

3

4 şi 54321 RRRRRR ; (II.111)

se obţine:

5

531

4310

RR

kkRR

RRRR , (II.112)

unde este abaterea raportului k de la valoarea de calcul. Valoarea abaterii poate fi exprimată

funcţie de eroarea rezistenţelor astfel:

R

RRR

R

Rk

RR

RR

2

2 50 . (II.113)

Dacă R1 = R2 = R3 = R4 = 100 k, R5 = 100 şi R = 0,1%, rezultă R0 = 50 k, valoare care

comparativ cu cea a convertorului din Fig.II.36 (1 G) este insuficientă în majoritatea aplicaţiilor.

II.6.1.3. Convertoare cu ieşire în curent unificat

În acest caz sarcina trebuie conectată cu o bornă la masa, ca urmare schema trebuie să permită acest fapt. Deplasarea caracteristicii de transfer din zero se poate realiza prin sumarea unei tensiuni de referinţă cu tensiunea de intrare, astfel ca să existe un curent diferit de zero pentru vx = 0. Curentul de ieşire având valoarea tipică de 4-20 mA este necesar un tranzistor la ieşire, conform Fig.II.40.

2R

+

-

vx

R2 R5

ccV

Vr

ZL

R1

2R

R1

i0

+

+

Fig.II.40. Convertor tensiune-curent pentru sisteme de curenţi unificaţi.

Page 46: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

40

Pentru schema de mai sus, curentul de ieşire este dat de relaţia:

1

2

5

rx0 R

R

R

VvI

, (II.114)

din care, se poate observa că, dacă:

mA 4 01

2

5

r00x

R

R

R

VIv ; (III.115)

tensiunea Vr fiind obţinută de obicei cu ajutorul unui diode Zener de referinţă.

II.6.2. CONVERTOARE CURENT -TENSIUNE

Cel mai simplu convertor curent-tensiune (C/I-U) este o rezistenţă utilizată de obicei în conexiune cuadripolară şi denumită de şunt. Această rezistenţă poate fi conectată în bucla de reacţie a unui AO, conform Fig.II.41.a. Valoarea curentului este limitată superior de curentul maxim de ieşire şi inferior de valoarea curenţilor de polarizare sau de decalaj ai AO.

+

-

ix

v0

R

R

(a)

+

-A

v0

ix

R

vc

(b)

Fig.II.41. Convertoare curent-tensiune: (a) – măsurare la nivelul masei; (b) – măsurare la potenţial flotant.

Pentru măsurarea curentului într-un punct de potenţial flotant şuntul se asociază cu un amplificator diferenţial cu impedanţă mare pe mod comun, Fig.II.41.b. Însă şuntul introduce o eroare sistematică datorită rezistenţei proprii. O soluţie eficientă este reprezentată în Fig.II.42.

+

-

+

-

+

-

ix

R1

v0 1R

IN+

ix

A1

A2

A3R2

R4R3IN

2R

3R

4R

v i

v1

v2

i2

2i

Fig.II.42. Convertor curent-tensiune pentru măsurări la potenţial flotant.

Neglijând erorile statice ale amplificatoarelor operaţionale, se poate observa că diferenţa de

potenţial dintre intrările IN şi IN+ este nulă. Curentul de intrare care intră prin borna IN se închide

prin rezistenţa R2 şi ieşirea A2, iar curentul de intrare care iese prin borna IN+ circulă de la ieşirea A1

Page 47: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

41

prin rezistenţa . Diferenţa de potenţial de la ieşirile A1 şi A2, (v1 – v2) se aplică etajului diferenţial

A3. Analizând schema din Fig.II.42, pentru determinarea funcţiei de transfer se pot scrie relaţiile:

2R

1

2

1

1

R

vv

R

vvi ; (II.116)

221 iRvv şi 222 iRvv . (II.117)

Înlocuind (II.117) în (II.116), se obţine:

22

2

1

12 i

R

R

R

Ri . (II.118)

Dacă este îndeplinită condiţia: şi , rezultă: 11 RR 22 RR

x22 iii . (II.119)

În acest caz, pe baza (II.117) se obţine forma finală a funcţiei de transfer:

x3

4221

3

40 2 i

R

RRvv

R

Rv . (II.120)

Convertorul curent-tensiune, conform Fig.II.42, acceptă curenţi de intrare de ambele polarităţi, deci este un convertor bidirecţional.

Rejecţia tensiunilor de mod comun este asigurată de etajul diferenţial A3 şi depinde în cea mai mare parte de împerecherea rezistenţelor din reţeaua de reacţie. Totodată, o importanţă

deosebită o are şi împerecherea rezistenţelor , , de care depinde egalitatea curenţilor

prin cele două borne de intrare – IN şi IN+.

11 RR 22 RR

Page 48: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

43

Capitolul III

CIRCUITE DE MULTIPLEXARE ŞI EŞANTIONARE/MEMORARE

III.1. CIRCUITE DE MULTIPLEXARE

III.1.1. GENERALITĂŢI

Un multiplexor analogic (MUX) este un bloc funcţional cu n intrări şi o ieşire care la un moment dat permite transmiterea la ieşire doar a semnalului de la una din intrări. Altfel spus, MUX permite selecţia şi transmiterea succesivă în timp a mai multor semnale analogice pe o cale comună. MUX poate fi utilizat şi pentru a realiza operaţia inversă, adică transmiterea unui semnal pe mai multe căi distincte. În acest caz se utilizează denumirea de demultiplexor (DEMUX). Există şi MUX/DEMUX pentru semnale logice. Acestea nu pot fi utilizate pentru semnale analogice, în schimb MUX/DEMUX analogice pot fi utilizate, cu anumite restricţii (viteză, încărcare etc.) şi pentru multiplexarea/demultiplexarea semnalelor logice. Din punct de vedere fizic, MUX/DEMUX analogice sunt constituite dintr-un număr egal cu 2x (2, 4, 8, 16) de comutatoare analogice conectate şi comandate în mod adecvat, conform Fig.III.1. Selecţia canalelor se realizează cu un cod numeric în baza doi, aplicat la intrările unui decodificator, DEC, care prin intermediul unui circuit de comandă, COM, acţionează comutatorul corespunzător valorii zecimale a codului numeric. Tipurile de comutatoare utilizate la realizarea MUX/DEMUX sunt cele electromecanice (relee Reed) sau cu dispozitive semiconductoare (diode, tranzistoare bipolare sau cu efect de câmp). Atunci când semnalele de selectat au nivele rezonabile şi nu există posibilitatea apariţiei de tensiuni de mod comun între sursele de semnal, se preferă MUX/DEMUX realizate cu tranzistoare FET care prezintă performanţe optime în comparaţie cu alte soluţii. Aceste tipuri de MUX/DEMUX se realizează sub formă de circuite integrate, un exemplu fiind cele în tehnologie CMOS.

IN1

IN2

INk

INn

COM DEC

OUT

Selecţie canaleşi validare MUX

Fig.III.1. Schema echivalentă a unui MUX/DEMUX.

Dacă semnalele de intrare au nivele mari în curent sau tensiune, sau dacă există posibilitatea apariţiei de tensiuni de mod comun cu valoare ridicată, se pot utiliza şi multiplexoare cu contacte mecanice. Dintre acestea, în aplicaţiile practice cunosc o largă utilizare releele electromagnetice şi releele Reed, realizate în capsule miniatură, care pot asigura o rezistenţă de contact de ordinul a 10

m, o rezistenţă de izolaţie de ordinul a 1013 şi timpi de comutare de ordinul milisecundelor.

Page 49: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

44

III.1.2. MULTIPLEXOARE ŞI DEMULTIPLEXOARE CMOS

MUX/DEMUX realizate în tehnologie CMOS, la fel ca şi celelalte tipuri, sunt constituite dintr-un număr de comutatoare elementare conectate astfel încât să realizeze o anumită structură de MUX

cum ar fi: [1 din 16], 2[1 din 8], [1 din 8], 2[1 din 4], 3[1 din 2] etc. Schema electrică a unui

comutator elementar CMOS, numit şi poartă de transmisie, este reprezentată în Fig.III.2. Elementul de comutare propriu-zis este constituit din tranzistoarele MOSFET Q4-Q5, unul cu canal p şi celălalt cu canal n, conectate în paralel şi comandate în antifază, prin intermediul inversoarele I1-I2. Ca urmare, ambele tranzistoare din componenţa comutatorului se vor găsi simultan fie în conducţie, fie în stare blocată. Comutatorul auxiliar realizat cu tranzistoarele MOSFET Q1-Q3, are rolul de a conecta substratul tranzistorului Q5 (cu canal n), fie la tensiunea de intrare când comutatorul este deschis, fie la masă când comutatorul este blocat. Acest artificiu reduce variaţia rezistenţei comutatorului cu tensiunea de intrare.

Q1

VDD

Q2 Q3

Q4

Q5

VDD OUT(vos)

IN(vis)

Control(vc)

I1 I2

Fig.III.2. Schema electrică de principiu a unei porţi de transmisie CMOS.

Comutatorul este realizat din tranzistoarele Q4-Q5, unul cu canal p şi celălalt cu canal n, conectate în paralel şi comandate în antifază, cu ajutorul inversoarele I1-I2. Ca urmare, ambele tranzistoare vor fi simultan fie în conducţie fie în stare blocată. Schema echivalentă a porţii de transmisie CMOS este reprezentată în Fig.III.3. Această schemă este valabilă pentru oricare alt tip de comutator, diferind numai valorile parametrilor din schemă. Analizând această schemă se pot identifica sursele de erori statice şi dinamice.

vos

RLILi

RS vis

ILo

vc

Ci Co

Cio

CcoCci

ron

roff

Fig.III.3. Schema echivalentă a unei porţi de transmisie CMOS.

Dintre sursele de erori statice (ron, roff, ILi şi ILo), cea mai dificil de minimizat este eroarea produsă de curenţii de scurgere de la intrare, ILi şi de la ieşire, ILo, care produc căderi parazite de tensiune pe rezistenţa sursei de semnal, RS, sau pe rezistenţa sursei de semnal în serie cu rezistenţa

în starea deschis, ron. Efectul rezistenţei roff este cu totul neglijabil (roff 1010-1012 ), iar efectul

ron, poate fi uşor anihilat prin utilizarea după MUX a unui amplificator cu rezistenţă mare de intrare.

Page 50: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

45

Dintre sursele de erori dinamice, determinate de capacităţile parazite de intrare, Ci, ieşire, Co, intrare-ieşire, Cio, sau cuplaj a sursei de comandă cu calea de semnal, Cci şi Cco, nu poate fi neglijat efectul capacităţii Cio care se face resimţit atunci când canalul este blocat, cât şi efectul capacităţilor Cci şi Cco, care facilitează pătrunderea fronturilor semnalului de comandă pe calea de semnal. Capacităţile de cuplaj Cci şi Cco, sunt constituite din capacităţile Cgd şi Cgs ale tranzistoarelor Q4 şi Q5. Cum cele două tranzistoare sunt unul de canal n, celălalt de canal p şi comanda se face în antifază, semnalele parazite care vor apare la ieşire vor fi datorate întârzierii introduse de poarta I2 şi diferenţei între capacităţile parazite Cgd şi Cgs ale celor două tranzistoare.

III.2. CIRCUITE DE EŞANTIONARE-MEMORARE

III.2.1. PRINCIPIILE EŞANTIONĂRII-MEMORĂRII

Măsurarea numerică a mărimilor cu variaţie continuă în timp, presupune discretizarea valorilor acestor mărimi, fiindcă mărimile cu variaţie continuă pot lua o infinitate de valori, atât într-un anumit interval de timp, cât şi într-un anumit interval de nivel. Deci procesul de discretizare trebuie să se desfăşoare pe două direcţii. Mai întâi are loc discretizarea în domeniul timpului, în sensul că măsurarea numerică nu este continuă în timp, ci se efectuează la anumite momente de timp prestabilite. Apoi are loc o discretizare a valorii instantanee prelevate la un moment dat, în sensul că acestei valori i se ataşează un număr, care, spre deosebire de mărimea cu variaţie continuă, nu poate lua într-un anumit interval decât o mulţime finită de valori. Discretizarea în domeniul timpului se efectuează prin eşantionare-memorare, iar în domeniul nivelului prin conversie analog-numerică. Prin urmare, eşantionarea constă în prelevarea, într-un anumit interval de timp, a unui număr finit de valori instantanee ale mărimii cu variaţie continuă, ce urmează să fie discretizate în nivel, adică convertite numeric, iar memorarea este necesară pentru menţinerea constantă a valorii eşantionate pe durata conversiei analog-numerice. Un aspect important este în ce măsură o mărime reprezentată prin eşantioanele sale conservă informaţia conţinută în semnalul iniţial şi conduce la refacerea semnalului iniţial. În acest scop trebuie cunoscută acţiunea procesului de eşantionare-memorare asupra spectrului semnalului de eşantionat şi stabilirea condiţiilor în care acest spectru nu suferă modificări substanţiale ireversibile.

III.2.1.1. Eşantionarea periodică ideală

Eşantionarea periodică ideală este exprimată matematic prin produsul dintre semnalul de eşantionat şi un şir de impulsuri Dirac cu perioada Te = 1/fe, unde fe este frecvenţa de eşantionare. Presupunând

că X(f) şi (f) reprezintă transformata Fourier şi densitatea spectrală de putere a semnalului de

eşantionat, x(t), se poate demonstra că xe(t), Xe(f şi e(f), reprezentând semnalul eşantionat,

transformata Fourier şi densitatea spectrală de putere a semnalului eşantionat, au expresiile:

.

;

;

n

ne

2ee

n

neee

k

kee

-

-

-

nffff

nffXffX

kTttxtx

(III.1)

Page 51: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

46

În cazul unui semnal x(t), cu o densitate spectrală de putere de formă trapezoidală limitată de

fmax fe/2, ecuaţiile (III.1) corespund Fig.III.4, unde impulsurile Dirac sunt reprezentate prin săgeţi

de lungime egală cu valorile instantanee ale funcţiei x(t) la momentele de timp corespunzătoare. Din (III.1) şi Fig.III.4 se observă că densitatea spectrală de putere a semnalului eşantionat, xe(t), corespunde unei repetiţii periodice a densităţii spectrale de putere a semnalului de eşantionat, x(t), multiplicată cu fe

2, perioada de repetiţie fiind fe. Se mai observă că în interiorul benzii [–fmax, +fmax] spectrul semnalului original x(t), se regăseşte fără deformări în spectrul semnalului xe(t), cu condiţia

ca fmax fe/2. Ca urmare, toată informaţia conţinută în x(t) se conservă, fiind regăsită în xe(t).

fmax

e(f)(f)

f

+fmaxfe2fe +fe +2fe

(b)(a)

xe(t)

t

x(t)

Te

Fig.III.4. Ilustrarea eşantionării periodice ideale: (a) – forma de undă; (b) – densitatea spectrală.

Dacă frecvenţa de eşantionare nu respectă condiţia fe 2fmax, atunci trapezele învecinate se

vor suprapune, astfel că spectrul semnalului eşantionat va fi alterat în zona de suprapunere. Eşantionarea realizată fizic se abate într-o anumită măsură de la eşantionarea periodică ideală şi va apare o deformare a spectrului semnalului de eşantionat analizată în paragrafele următoare.

3.2.1.2. Eşantionarea periodică cu memorare

În acest caz se consideră că fiecare eşantion este memorat o anumită durată de timp, t0. Semnalul eşantionat cu memorare, xem(t), va arăta sub forma unui tren de impulsuri dreptunghiulare cu amplitudinea corespunzătoare valorilor instantanee de la eşantionarea periodică ideală, Fig.III.5.a.

Dacă se notează cu Xem (f) şi em(f) transformata Fourier şi densitatea spectrală de putere a

semnalului eşantionat cu memorare, xem(t), se poate demonstra valabilitatea relaţiilor:

. sin

; esin

n

ne

2

0

02e

20em

ftj-n

ne

0

0e0em

0

-

-

nffft

ftftf

nffXft

ftftfX

(III.2)

(a)

xe(t)

t

x(t)

Tet0

(b)

f

em(f)

fe +fefe/2 +fe/23fe/2 +3fe/2

2

0

0sin

ft

ftt0 = 1/fe

Fig.III.5. Ilustrarea eşantionării periodice cu memorare:

(a) – forma de undă; (b) – densitatea spectrală.

Page 52: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

47

Comparativ cu spectrul semnalului eşantionat ideal, conform (III.1), spectrul semnalului

eşantionat cu memorare este afectat de o funcţie pondere de forma sin(ft0)/ft0. Deci spectrul

em(f) reprezintă o imagine deformată a spectrului e(f), după cum rezultă din Fig.III.5.b, unde s-a

considerat cazul limită în care timpul de memorare îndeplineşte condiţia: t0 = 1/fe.

În situaţia de mai sus, funcţia pondere ia forma sin(f/fe)/f/fe şi se poate calcula abaterea

relativă între Xem(f) şi X(f ): = 1 – sin(f/fe)/f/fe, în funcţie de raportul f/fe. Astfel, se poate

constata că = 1 % pentru f /fe = 1/4, iar pentru 0,1 % trebuie ca f /fe 1/40 sau fe 40 f.

Dacă se consideră abaterea între densităţile spectrale de putere, pentru aceleaşi valori ale abaterii frecvenţa de eşantionare trebuie dublată. Totodată, se adăugată şi întârzierea introdusă prin funcţia

de memorare, explicitată în (III.2) prin factorul ejfto.

III.2.1.3. Eşantionarea periodică cu mediere

Ca realizare fizică, nu există dispozitive electronice atât de rapide încât să determine valoarea instantanee a unui semnal continuu variabil. Ca urmare, va fi determinată o valoare medie pe un

anumit interval de timp finit, T:

t

Tt

d1

, xT

Ttxtx , (III.3)

unde x(t) se numeşte medie glisantă pe intervalul T.

Prin urmare, la fiecare moment de timp, semnalul x(t) reprezintă media semnalului x(t) pe un

interval de timp imediat anterior, cu valoarea T. În aceste condiţii se poate considera că de fapt

eşantionarea se efectuează asupra semnalului x(t) şi nu asupra lui x(t).

În domeniul frecvenţei, transformarea semnalului x(t) în x(t) prin (III.3) poate fi exprimată

astfel:

fGfXfX , (III.4)

cu ajutorul unei funcţii de transfer de forma:

fTj-esin

fT

fTfG . (III.5)

Transformata Fourier şi densitatea spectrală de putere a semnalului generat de eşantionarea

semnalului x(t) cu frecvenţa fe, cu mediere pe intervalul T şi cu memorare pe durata t0 se pot

deduce din (III.5) şi (III.2), rezultând cu următoarea formă:

;

sinsin

; esinsin

2n

n e

ee

2

0

02e0eμ

Ttfj-

e

en

ne

0

0e0eμ

μ0

-

-

nffT

nffTnff

ft

ftftf

nffT

nffTnffX

ft

ftftfX

(III.6)

de unde se poate observa că (III.6) tinde spre (III.2), dacă T 0.

Durata de mediere T produce o deformare a spectrului semnalului x(t), corespunzătoare unei

filtrări trece-jos înainte de eşantionare. Această deformare se adaugă celei rezultate din memorare

pe durata t0, reprezentată în Fig.III.5.b. Ca urmare, timpul de mediere T trebuie redus la limita

posibilităţilor fizice, determinată în ultimă instanţă de viteza circuitelor electronice utilizate. Din acest motiv, este uşor de anticipat faptul că circuitele de eşantionare-memorare, lucrând în comutaţie, trebuie să aibă viteză de răspuns mult mai mare decât alte convertoare de măsurare.

Page 53: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

48

III.2.1.4. Teorema lui Shannon

În cele prezentate mai sus, s-a scos în evidenţă influenţa modului sau metodei de eşantionare, asupra spectrului semnalului eşantionat. Însă prezintă importanţă şi stabilirea condiţiilor în care semnalul original poate fi reconstituit pe baza eşantioanelor sale rezultate dintr-o eşantionare periodică ideală. Aceste condiţii sunt precizate de teorema lui Shannon a cărei enunţ este: Semnalul analogic x(t) este descris complet printr-un şir infinit de eşantioane ale sale, obţinute printr-o eşantionare periodică ideală cu frecvenţa fe, cu condiţia ca spectrul lui x(t) să nu conţină nici o componentă de frecvenţă superioară valorii fe/2. Altfel spus, dacă fmax este frecvenţa cea mai ridicată din spectrul semnalului x(t), teorema lui

Shannon se exprimă prin condiţia: fe = 1/Te 2fmax, care se mai numeşte şi criteriul Nyquist. În

aplicaţiile practice frecvenţa de eşantionare se ia superioară acestei limite. Nerespectarea condiţiei Shannon atrage după sine suprapunerea unor componente spectrale care devin astfel imposibil de separat după eşantionare, aşa cum este ilustrat în Fig.III.6, prin suprapunerea trapezelor învecinate.

ei(f)

3fe/2 +3fe/2fe/2 +fe/2

+fmaxfmax

ffe +fe

Fig.III.6. Ilustrarea efectului nerespectării condiţiei Shannon.

Condiţia fe = 2fmax este necesară, dar nu suficientă pentru a reconstitui semnalul original fără

deformări. În acest scop, mai este nevoie şi de un filtru trece-jos ideal, care să prezinte o frecvenţă

de tăiere egală cu fe/2, pentru a se putea extrage porţiunea din e( f ) care reprezintă spectrul ( f ).

III.2.1.5. Filtrare anti-aliasing

Nici un semnal fizic nu posedă un spectru de frecvenţă limitat şi aceasta se întâmplă cel puţin din cauză că orice semnal este întotdeauna însoţit de zgomot alb (densitate de putere constantă în toată banda) . Astfel, niciodată nu există garanţia că teorema lui Shannon va fi respectată.

(a)

t

x(t)

alias x(t)

(b)

f

H(j)

fmaxfe/2 +fmax +fe/2

Filtru real

Filtru ideal

Fig.III.7. Semnalului alias şi filtrarea anti-aliasing: (a) – ilustrarea semnalului alias; (b) – caracteristica filtrului anti-aliasing.

Din Fig.III.7.a, se observă cum poate arăta un semnal reconstituit, atunci când nu este respectată condiţia Shannon. Al doilea semnal, reprezentat cu linie punctată, care ar rezulta în urma

Page 54: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

49

refacerii semnalului original din eşantioane generate cu frecvenţă insuficientă, constituie aşa-zisul semnal alias al semnalului iniţial. În cazul unui semnal însoţit de zgomot, va apare un semnal alias al zgomotului care poate conduce la erori imprevizibile. Din aceste considerente, se impune a fi plasat înainte de circuitul de eşantionare, un filtru trece-jos numit filtru anti-aliasing. În această situaţie, funcţia de transfer a acestui filtru, H(f), va determina frecvenţa de eşantionare minimă, care garantează refacerea semnalului original cu o anumită eroare acceptată, conform Fig.III.7.b.

Eroarea generată de filtrului anti-alias se poate estima prin raportul = 10log(Pr/Px), unde Pr

reprezintă puterea semnalului filtrat, iar Pt – puterea totală a semnalului iniţial. De exemplu, pentru un filtru Butterworth cu frecvenţa de tăiere, fc, pentru a menţine această eroare sub 1% sau –20 dB,

este necesară condiţia fe /fc 3, dacă filtrul este de ordinul 3. În cazul unui filtru RC de ordinul întâi,

pentru acelaşi nivel al erorii de filtrare trebuie ca fe /fc 130.

În practică se stabileşte un compromis optim între nivelul admis al erorii generate de filtrare, frecvenţa de eşantionare şi ordinul filtrului anti-alias.

III.2.2. CIRCUITE DE EŞANTIONARE-MEMORARE NEINVERSOARE

Circuitele de eşantionare-memorare trebuie să îndeplinească două condiţii principale. În primul rând, momentul şi durata eşantionării trebuie să fie bine precizate, astfel ca prin aceasta să se contribuie la reducerea timpului de mediere. Apoi, tensiunea eşantionată trebuie să fie menţinută constantă pe durata conversiei analog-numerice, acesta fiindscopul esenţial al eşantionării. În principiu, un circuit de eşantionare-memorare este constituit dintr-un comutator pentru eşantionare şi un condensator pentru memorare, funcţionarea acestuia înregistrând două faze. În prima fază, numită fază de achiziţie, comutatorul este pe poziţia închis, iar tensiunea de pe condensator urmăreşte semnalul de intrare. În momentul eşantionării, comutatorul trece în starea blocat, iar condensatorul trebuie să păstreze constantă tensiunea din acel moment pe toată durata fazei următoare, numită fază de memorare. Spre exemplificare, în Fig.III.8 este reprezentată schema de principiu a unui circuit de eşantionare-memorare neinversor.

+

-

vxv0

Rc C

S IS IB

Comandă

Ri

Fig.III.8. Principiul de funcţionare al circuitelor de eşantionare-memorare.

Se consideră că sursa de semnal vx are rezistenţa internă Ri, iar comutatorul S prezintă în starea închis o rezistenţă notată cu ron. Etajul cu AO este un repetor de tensiune, pentru a asigura preluarea tensiunii de pe condensatorul C, fără a îi altera sarcina. Când comutatorul S este în starea ON, tensiunea pe condensatorul C urmăreşte valoarea instantanee a semnalului de intrare cu o

întârziere determinată de constanta de timp I = (RI + ron)C. Reducerea acestei constante de timp

este de mare importanţă, deoarece de ea depinde în principal durata eşantionării. Astfel, pentru o

eroare de 0,05%, durata eşantionării trebuie să fie de minimum 8I.

Page 55: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

50

După ce S trece în starea OFF, C se descarcă datorită curenţilor de intrare al AO, de scurgere al comutatorului S şi de pierderi al condensatorului C, viteza de descărcare fiind dată de relaţia:

BC

CBS

C0 11

d

d

d

dI

CR

vII

Ct

v

t

v

. (III.7)

fiindcă ponderea cea mai mare o are curentul IB al AO. Pentru ca descărcarea condensatorului pe durata memorării să nu introducă erori semnificative, trebuie ca constanta de timp de descărcare să fie de cel puţin 105 ori mai mare decât timpul pentru care valoarea memorată este necesară. În scopul reducerii constantei de timp de încărcare a condensatorului se utilizează scheme în care comutatorul este inclus într-o buclă de reacţie, datorită căreia efectul rezistenţei ron devine nesemnificativ, conform Fig.III.9.

+

-

+

-

vxv0

C

S1

R

A2

A1

S2

I1vc

Fig.III.9. Circuit de eşantionare-memorare neinversor cu reacţie.

În Fig.III.9, cele două comutatoare, S1 şi S2, sunt comandate în antifază. Se poate observa că pe durata de achiziţie (S1 = ON şi S2 = OFF), datorită legăturii prin R dintre cele două AO, A1 va forţa încărcarea condensatorului prin ron1, astfel ca în permanenţă tensiunea de ieşire, v0, să fie egală cu tensiunea de intrare, vx. În acest caz, timpul de încărcare, respectiv întârzierea cu care tensiunea pe condensator urmăreşte semnalul de intrare sunt determinate de A1 prin curentul maxim pe care acesta îl poate debita la ieşire sau/şi prin slew-rate. Pe durata de memorare, S1 = OFF şi S2 = ON. Rolul S2 este de a limita excursia de tensiune la ieşirea A1, în scopul conservării vitezei de răspuns. În absenţa S2, pe durata memorării S1 fiind în starea OFF se întrerupe legătura dintre A1 şi A2 şi ca urmare A1 se va satura la una din tensiunile de alimentare, iar revenirea din saturaţie şi excursia de tensiune până la valoarea vx, se efectuează lent.

+

-+

-

vxv0

C

S1

R

A2

A1

S2

I3vc

S3 C*

Fig.III.10. Reducerea influenţei curentului de intrare al repetorului A2.

Pentru reducerea influenţei curentului de polarizare al repetorului A2 asupra descărcării condensatorului se poate utiliza artificiul de schemă prezentat în Fig.III.10. Se observă că faţă de schema anterioară s-a introdus în plus comutatorul S3 şi condensatorul C*. Pe durata de achiziţie, când S1, S3 = ON şi S2 = OFF, schema funcţionează identic cu cea din Fig.III.9. Iar pe durata de memorare, când S1, S3 = OFF şi S2 = ON, variaţia tensiunii de ieşire este dată de relaţia de mai jos:

Page 56: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

51

BB0 11

d

dI

CI

Ct

v, (III.8)

care, dacă C = C* şi , devine dv0/dt = 0. De obicei, şi BB II BB II 0SBB III , astfel că dv0/dt

= I0S/C, unde I0S reprezintă curentul de decalaj la intrare. Astfel s-a substituit efectul curentului de polarizare la intrare cu efectul curentului de decalaj care este mai mic cu un ordin de mărime. Dacă AO A2 este prevăzut cu tranzistoare FET la intrare, atunci curentul de polarizare al acestuia poate fi de acelaşi ordin de mărime sau mai mic decât curentul de scurgeri al comutatorului S1. În această situaţie, poate prezenta interes şi reducerea efectului curentului de scurgeri al comutatorului S1. O schemă posibilă, care rezolvă această problemă, este prezentată în Fig.III.11.

+

-+

-

vxv0

C

S1

R1

A2

A1

S2

I3vc

R2S3

Fig.III.11. Reducerea influenţei curentului de scurgeri al comutatorului S1.

Schema de mai sus, conţine în plus, faţă de schema de bază din Fig.III.9, comutatorul S3 şi rezistenţa R2. Pe durata de achiziţie, când S1, S3 = ON şi S2 = OFF, schema funcţionează în mod identic cu cea din Fig.III.9. Pe durata de memorare, când S1, S3 = OFF şi S2 = ON, datorită rezistenţei R2 căderea de tensiune pe comutatorul S1 este practic nulă, deci şi curentul de scurgeri este aproape nul. Această situaţie este valabilă dacă S1-S3 sunt realizate cu tranzistoare MOSFET,

care de regulă satisfac condiţia ids igs. În acest caz, dacă vds1 = 0 ids1 = 0.

Un exemplu de circuit de eşantioare-memorare realizat industrial sub formă de circuit integrat (ANALOG DEVICES) este prezentat în Fig.III.12. Spre deosebire de schema de principiu din Fig.III.9, comutatorul S2 este înlocuit prin diodele D1, D2 cu acelaşi rol, iar condensatorul de memorare este conectabil din exterior, valoarea acestuia fiind la latitudinea utilizatorului.

+

-

+

- IN

OUT

300

SA2

A1

D2

I3

COM.LOG

30 kD1

REF.LOG

LF 198

Cmem

Fig.III.12. Exemplu de circuit integrat de eşantionare-memorare.

III.2.3. CIRCUITE DE EŞANTIONARE-MEMORARE INVERSOARE

Cu toate că, cele mai frecvent utilizate sunt circuitele de eşantionare-memorare neinversoare, chiar dacă acestea nu amplifică suplimentar semnalul de intrare, sunt posibile şi circuite de eşantionare-memorare inversoare, cu amplificare supraunitară. Aceste circuite au condensatorul de

Page 57: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

52

memorare plasat în bucla de reacţie negativă a unui amplificator inversor şi pot realiza o amplificare supraunitară în tensiune, cu inversarea fazei semnalului, conform schemei de principiu reprezentată

în Fig.III.13. Dacă S = ON, tensiunea de ieşire tinde spre valoarea v0 = vxR2/R1, cu o constantă de

timp I = R2C, iar când S = OFF, tensiunea pe condensator şi cea de ieşire tind să rămână constante.

+

-

vx v0

CS

R2

R1

Fig.III.13. Circuit de eşantionare-memorare inversor.

Cauzele care conduc la alterarea sarcinii condensatorului sunt aceleaşi ca şi în cazul circuitelor neinversoare. Schemele practice de acest tip sunt astfel completate, încât să rezulte o minimizare a surselor preponderente de erori, în mod asemănător cu cazul circuitelor neinversoare.

III.2.4. CARACTERISTICI TEHNICE ALE CIRCUITELOR

DE EŞANTIONARE-MEMORARE

În afară de erorile relative la faptul că timpii de achiziţie şi memorare sunt finiţi, trebuind să

satisfacă cerinţele tachiziţie 0 şi tmemorare , mai intervin şi alte surse de erori care afectează

funcţionarea unui circuit de eşantionare-memorare, cum ar fi:

tensiunile de offset ale AO;

cuplajul între semnalul de intrare şi condensatorul de memorare, care apare datorită capacităţii parazite a comutatorului în starea blocat;

cuplajul dintre semnalul de comandă şi condensatorul de memorare, care apare datorită capacităţilor parazite existente între intrările de comandă şi de semnal ale comutatorului.

Influenţa surselor de erori şi definirea principalilor parametri caracteristici ai circuitelor de eşantionare-memorare, este ilustrată în diagrama semnalelor de intrare şi de ieşire din Fig.III.14.

tie tc tse ta tsm

v0

ta

taq

tHOLDEŞANTIONARE

(URMĂRIRE) HOLD (MEMORARE)

tie

vx v0

vx

v0

Fig.III.14. Parametrii caracteristici ai circuitelor de eşantionare-memorare.

Parametrii caracteristici fazei de eşantionare sau de urmărire sunt:

Timpul de întârziere la eşantionare, tie – este intervalul de timp scurs între momentele apariţiei comenzii de eşantionare şi cel al închiderii efective a comutatorului. Acesta depinde de viteza circuitelor de comandă şi a comutatorului şi poate avea valori de 5-150 ns, tipic 15-20 ns.

Page 58: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

53

Timpul de creştere, tc – reprezintă intervalul de timp necesar pentru ca tensiunea pe condensator să ajungă la nivelul semnalului de intrare. Acest parametru depinde de viteza de creştere a tensiunii de ieşire (slew-rate) a AO din structura circuitului. Ca urmare, amplificatoarele utilizate

în acest scop au slew-rate de ordinul a 200-400 V/s, în cazul circuitelor rapide, coborând până

la nivelul de 3-5 V/s pentru circuitele mai lente, de uz general.

Timpul de stabilire la eşantionare, tse – reprezintă intervalul de timp necesar pentru stingerea regimului tranzitoriu al circuitului, care în momentul închiderii comutatorului este solicitat la semnal treaptă.

Timpul de achiziţie, taq – reprezintă suma timpilor de întârziere, de creştere şi de stabilire la eşantionare. Deci taq, este intervalul de timp minim cât trebuie să dureze eşantionarea, pentru ca circuitul să dea rezultate corecte. Timpul de achiziţie are valoarea stabilită în funcţie de precizie. De exemplu, pentru o creştere a preciziei de la 0,1% la 0,01%, taq trebuie să crească de 4-5 ori,

cum ar fi de la 6 la 25 s.

Dacă timpul de eşantionare este mai mare decât timpul de achiziţie, după expirarea acestuia circuitul intră în regim de urmărire a semnalului de intrare. Acest regim este caracterizat de o eroare de câştig, dată de diferenţa dintre tensiunile de intrare şi de ieşire. Cauzele erorii de câştig pot fi tensiunile de offset, constanta de timp de încărcare a condensatorului de memorare (care nu este zero ci are o valoare finită), limitările în viteză ale AO etc.

Parametrii caracteristici fazei de memorare sunt:

Timpul de apertură, ta – reprezintă de fapt timpul de întârziere la memorare, adică intervalul de timp scurs între momentele apariţiei comenzii de memorare şi deschiderii efective a comutatorului. Un timp de apertură constant ar rămâne fără nici o influenţă, fiindcă efectul lui ar fi echivalent cu un defazaj constant. În realitate, ta variază atât aleator cât şi sistematic, în funcţie de temperatură, tensiune de alimentare sau tensiune de intrare. De exemplu, timpul de apertură

poate avea pentru unele circuite de eşantionare-memorare o derivă de 3-5%/C.

Timpul de stabilire la memorare, tsm – reprezintă intervalul de timp necesar pentru stingerea regimului tranzitoriu al circuitului, după deschiderea comutatorului. Regimul tranzitoriu care apare la aplicarea comenzii de memorare include şi semnalul parazit care pătrunde prin capacităţile de cuplaj cu sursa de comandă. Din această cauză, apare un aşa-numit decalaj la blocare al tensiuni de ieşire.

Diafonia – se defineşte ca variaţia tensiunii de ieşire datorită semnalului de intrare care pătrunde prin capacitatea parazită paralel a comutatorului în starea blocat. Diafonia depinde de frecvenţă şi se exprimă în decibeli.

Căderea sau panta de cădere a tensiunii de ieşire, v0 – apare datorită pierderii de sarcină a

condensatorului de memorare, prin rezistenţele şi generatoarele de curent parazite, care apar în paralel pe acest condensator.

Procesul de eşantionare-memorare ridică o mare varietate de probleme, care necesită o analiză atentă în vederea minimizării principalelor surse de erori şi menţinerii controlului asupra acestui proces, esenţial pentru calitatea rezultatelor finale.

Toate erorile unui circuit de eşantionare-memorare se pot deduce cantitativ din specificaţiile tehnice ale circuitului, cu excepţia erorii generate de timpul de apertură, fiindcă această eroare este dependentă de panta semnalului de intrare din momentul trecerii în starea de memorare, după cu reiese din Fig.III.15:

Page 59: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

54

ax

x d

dt

t

vv . (III.9)

t

vx

ta

vx

Fig.III.15. Efectul timpului de apertură.

Pentru cunoaşterea exactă a valorii vx, este necesar să se ştie densitatea de probabilitate a

pantei semnalului de intrare. În general, se estimează această eroare, admiţând că ea nu depăşeşte eroarea maximă pentru un semnal sinusoidal de frecvenţă f, egală cu frecvenţa maximă din spectrul semnalului şi cu amplitudinea A, egală cu valoarea maximă admisibilă a semnalului de intrare. În acest caz, dacă:

, rezultă fAAtv 2dd max , (III.10) tAv sin

se obţine:

a2 fAtv sau a2 ftAv . (III.11)

Din relaţia de mai sus, se observă că efectul timpului de apertură este destul de critic. De exemplu, la un timp de apertură ta = 32 ns se produce o eroare de 0,01%, dacă frecvenţa maximă din spectrul semnalului este 10 kHz.

Page 60: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

55

Capitolul IV

CONVERTOARE NUMERIC-ANALOGICE – DAC

Măsurarea şi prelucrarea numerică a mărimilor cu variaţie continuă în timp necesită două operaţii esenţiale pe lanţul de prelucrare a informaţiei de măsurare. Mai întâi mărimile analogice trebuie exprimate sub formă numerică şi această operaţie se realizează cu ajutorul convertoarelor analog-numerice, ADC (Analog-to-Digital Converter). În esenţă, un ADC realizează o corespondenţă biunivocă între nivelul mărimii analogice de intrare şi un cod numeric rezultat la ieşire. Apoi, sunt frecvente situaţiile în care o mărime exprimată sub formă numerică trebuie convertită în semnal analogic. Această operaţie se realizează cu ajutorul convertoarelor numeric-analogice, DAC (Digital-to-Analog Converter) care realizează tot o corespondenţă biunivocă, dar de data aceasta între codul numeric de intrare şi o mărime analogică rezultată la ieşire. Atât DAC cât şi ADC, operează cu numere zecimale exprimate sub formă binară (baza de numeraţie 2). Prin urmare, prezintă interes cunoaşterea codurilor numerice.

IV.1. CODURI UZUALE ÎN CONVERSIILE A/D ŞI D/A

Pentru reprezentarea binară a mărimilor analogice se utilizează diferite coduri numerice care prezintă anumite particularităţi. O primă departajare se referă la polaritatea semnalului pe care îl reprezintă. Din acest punct de vedere, există coduri numerice unipolare şi coduri numerice bipolare.

IV.1.1. CODURI NUMERICE UNIPOLARE

Pentru reprezentarea numerică a semnalelor analogice care prezintă o singură polaritate, pozitivă sau negativă, codul numeric exprimă numai valoarea normalizată a mărimii analogice corespunzătoare. În acest scop, cel mai folosit cod numeric este aşa-zisul cod binar natural, în logică pozitivă. Acest cod poate fi utilizat şi sub formă complementată, sub denumirea de cod binar complementar. Trecerea de la unul la celălalt din aceste coduri se realizează prin complementarea fiecărui bit component.

În afară de cele două coduri menţionate mai sus, se mai utilizează şi alte coduri binare, în funcţie de aplicaţia practică concretă. Astfel, în cazul aparatelor de măsurat numerice, a căror afişaj funcţionează în cod zecimal, sunt deosebit de utile codurile binar-zecimale, BCD. Codurile BCD utilizează o reprezentare bazată pe cifre zecimale, fiecare dintre ele fiind exprimată printr-un număr de 4 cifre binare (4 biţi).

Deoarece un număr binar de 4 biţi poate lua 24 = 16 valori, iar un număr zecimal de o cifră poate lua numai 10 valori, rezultă că codurile BCD renunţă la o parte din valorile unui număr binar de 4 biţi. Din acest motiv, pentru reprezentarea unui anumit număr în cod BCD este nevoie de mai mulţi biţi decât în codul binar natural.

Codurile BCD sunt de obicei coduri ponderate, adică fiecărui bit i se atribuie o anumită pondere, iar valoarea cifrei zecimale rezultă prin însumarea produselor dintre ponderea şi valoarea bitului. Cele mai folosite coduri BCD ponderate sunt codurile BCD-8421 şi BCD-2421, conform Tabel IV.1. Primul dintre acestea, numit cod BCD natural, atribuie cifrelor zecimale 0-9 codurile binare naturale corespunzătoare.

Page 61: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

56

Tabel IV.1. Reprezentarea codurilor BCD.

Valoare zecimală

Cod BCD 8 4 2 1

Cod BCD 2 4 2 1

0 0 0 0 0 0 0 0 0

1 0 0 0 1 0 0 0 1

2 0 0 1 0 0 0 1 0

3 0 0 1 1 0 0 1 1

4 0 1 0 0 0 1 0 0

5 0 1 0 1 1 0 1 1

6 0 1 1 0 1 1 0 0

7 0 1 1 1 1 1 0 1

8 1 0 0 0 1 1 1 0

9 1 0 0 1 1 1 1 1

Codul BCD-2421 este identic cu codul BCD-8421 până la cifra zecimală 4. Apoi, cifrele 5-9 reprezintă complementul binar al cifrelor 0-4, în ordine inversată.

Un alt cod unipolar, ceva mai deosebit, este codul Gray. Acesta este un cod neponderat care prezintă particularitatea că între două valori consecutive se modifică de fiecare dată doar valoarea unui singur bit, după cum se observă din Tabel IV.2. Conversia din cod binar natural în cod Gray, se efectuează după următoarea regulă:

MSB (Most Significant Bit) din codul binar este egal cu MSB din codul Gray;

apoi, spre bitul LSB (Least Significant Bit) tranziţiile 10 sau 01, din codul binar, dau

valoarea 1 pentru biţii din codul Gray, în caz contrar biţii din codul Gray au valoarea 0, aşa cum se exemplifică în exemplu de mai jos:

1 1 0 1 – cod binar;

1 0 1 1 – cod Gray.

Tabel IV.2. Reprezentarea codului Gray comparativ cu codul binar natural.

Valoare zecimală

Cod binar

Cod Gray

Valoare zecimală

Cod binar

Cod Gray

0 0 0 0 0 0 0 0 0 8 1 0 0 0 1 1 0 0

1 0 0 0 1 0 0 0 1 9 1 0 0 1 1 1 0 1

2 0 0 1 0 0 0 1 1 10 1 0 1 0 1 1 1 1

3 0 0 1 1 0 0 1 0 11 1 0 1 1 1 1 1 0

4 0 1 0 0 0 1 1 0 12 1 1 0 0 1 0 1 0

5 0 1 0 1 0 1 1 1 13 1 1 0 1 1 0 1 1

6 0 1 1 0 0 1 0 1 14 1 1 1 0 1 0 0 1

7 0 1 1 1 0 1 0 0 15 1 1 1 1 1 0 0 0

Regula de conversie inversă, din codul Gray în codul binar natural, se poate deduce cu uşurinţă din cea precedentă. Prin urmare:

Page 62: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

57

MSB din codul Gray are aceeaşi valoare cu MSB din codul binar;

apoi, spre bitul LSB, dacă un bit din codul Gray este 1, bitul corespunzător din codul binar va fi complementul bitului precedent, iar dacă un bit din codul Gray este 0, bitul corespunzător din codul binar va fi identic cu bitului precedent, astfel:

1 0 1 1 – cod Gray;

1 1 0 1 – cod binar.

Plecând de la regulile de conversie din cod binar în cod Gray şi invers, se pot sintetiza circuitele logice apte să realizeze aceste conversii, conform Fig.IV.1. Datorită faptului că la codul Gray, între două valori consecutive ale codului se schimbă valoarea unui singur bit, se evită fenomenele tranzitorii, foarte supărătoare, care pot apărea în schemele fizice, datorită schimbării nesimultane a valorii biţilor.

Astfel, considerând un DAC de 4 biţi care lucrează în cod binar şi că numărul de intrare se

schimbă de la valoarea 7 la 8, adică 0111 1000, dacă comută mai întâi bitul MSB se poate ajunge

la starea finală prin tranziţiile 0111 1111 1000, sau dacă MSB comută ultimul, tranziţiile până

în starea finală sunt 0111 0000 1000.

(Binar Gray)

LSB

MSB

LSB

MSB

0

1

1

1

1

1

1

0

LSB

(Gray Binar)

LSB

MSB MSB

1

1

1

0

0

1

1

1

(a) (b)

Fig.IV.1. Circuite logice de conversie cod binar-cod Gray şi invers.

Ca urmare, pe durata tranziţiei, datorită stării intermediare care poate apare prin comutarea nesimultană a biţilor, tensiunea de ieşire a DAC poate înregistra salturi imprevizibile generatoare de erori. Dacă în exemplul considerat, DAC lucrează în cod Gray, comutând un singur bit, fenomenele parazite descrise mai sus nu mai apar.

IV.1.2. CODURI NUMERICE BIPOLARE

Pentru a fi posibilă funcţionarea cu semnale bipolare, facilitate oferită de majoritatea

circuitelor DAC sau ADC, sunt necesare coduri binare care să permită exprimarea atât a valorii, cât şi a semnului mărimii analogice. În acest caz, codurile se numesc coduri binare bipolare. În tehnica numerică de calcul, există posibilitatea utilizării mai multor variante de coduri binare, cum ar fi: codul semn-modul, codul complementar faţă de 2, codul complementar faţă de 1, codul binar deplasat, codul inversat analogic, precum şi codurile inversate (complementare) ale acestora.

Codul semn-modul. Acest cod, spre deosebire de codul binar natural, utilizează un bit suplimentar pentru indicarea semnului, care se plasează înaintea bitului MSB. De obicei, bitul de

Page 63: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

58

semn are valoarea 0 pentru numere pozitive şi 1 pentru cele negative. Ceilalţi biţi, care indică modulul, rămân neschimbaţi indiferent de semn.

Considerând un număr binar de n biţi, an-1 an-2 … a1 a0, valoarea acestuia în zecimal poate fi exprimată şi sub forma compactă următoare:

1ni

0i

ii 2

-

aN . (IV.1)

Dacă MSB indică semnul, (IV.1) poate fi transcrisă astfel:

2ni

0i

ii

1-n1-n 22

-

aaN , (IV.2)

în care, an-1 = 0 pentru numere pozitive şi an-1 = 1 pentru numere negative. De exemplu, codul

0111 = +111 = +7, iar codul 1111 = 111 = 7. Din cele arătate, se poate uşor anticipa faptul că,

în codul semn-modul există două reprezentări pentru valoarea 0 şi anume 0000 = 0+ şi 1000 = 0.

Acest aspect, constituie un dezavantaj care complică atât software-ul, cât şi hardware-ul circuitelor utilizate.

Codul binar deplasat. Altă posibilitate de a exprima numeric mărimi analogice bipolare este separarea în două a intervalului de variaţie unipolar şi atribuirea unei jumătăţi valorilor pozitive şi a celeilalte valorilor negative. Practic, acest lucru se poate realiza printr-o deplasare de nivel pe partea analogică a circuitelor, egală cu jumătate din intervalul de variaţie, adică cu valoarea

MSB. Astfel, un interval de variaţie unipolar (0…vmax) va deveni (vmax/2…+vmax/2). Ca urmare,

o valoare nulă la intrare va genera codul 1000…0. În acest caz, valoarea zecimală are expresia:

1-n1ni

0i

ii 22

-

aN . (IV.3)

Codul binar deplasat prezintă avantajul că implică modificări simple la partea de circuite, constând într-o deplasare de nivel şi eventual o dublare a factorului de scară dacă se doreşte ca

intervalul de variaţie bipolar să fie (vmax…+vmax). În plus, acest cod este compatibil cu sistemele

de intrare/ieşire ale calculatoarelor, fiindcă poate fi uşor convertit în codul complementar faţă de 2, prin complementarea bitului MSB. Şi de asemeena, prezintă o valoare unică pentru zero. Însă codul binar deplasat are şi dezavantaje, cum ar fi erorile dinamice şi statice care apar la

trecerea prin zero. Erorile dinamice apar din cauza schimbării masive de biţi (01…1 10…0),

dacă biţii nu comută simultan. Erorile statice apar din cauză că zeroul analogic rezultă prin diferenţă între două tensiuni, una fiind referinţa ADC/DAC, iar cealaltă tensiunea cu care se realizează deplasarea de nivel.

Codul complementar faţă de 2. În acest cod, un număr pozitiv are reprezentarea:

2ni

0i

ii

1-n 220-

aN , (IV.4)

iar un număr negativ are reprezentarea:

12212ni

0i

ii

1-n

-

aN , (IV.5)

unde ii 1 aa este complementul faţă de 1 al bitului ai.

Codul complementar faţă de 2 este foarte util în calculatoarele numerice, fiindcă simplifică circuitele aritmetice. El poate fi considerat ca un set de numere negative şi astfel, operaţia de scădere se rezumă la în adunarea unui număr cu complementul faţă de 2 al celuilalt.

Page 64: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

59

Codul complementar faţă de 1. În acest cod, numerele pozitive se exprimă la fel ca şi în codul complementar faţă de 2, iar numerele negative au reprezentarea:

2ni

0i

ii

1-n 221-

aN . (IV.6)

Codul complementar faţă de 1 prezintă două valori pentru zero (00…0 şi 11…1) şi în general nu este utilizat pentru funcţionarea circuitelor numerice.

Codul inversat analogic. Numit şi cod cu referinţă negativă, nu este un cod diferit de cele menţionate mai sus, ci prezintă doar o inversare a sensului de variaţie al acestora. Astfel, dacă pentru codurile normale numerele cresc pentru variaţia semnalului analogic în intervalul

(0…vmax) sau (vmax…+vmax), în cazul codului inversat analogic, creşterea numerelor corespunde

variaţiei în sens invers a mărimii analogice şi anume în intervalul (vmax…0) sau (+vmax…vmax).

În Tabel IV.3 sunt date valorile codurile bipolare prezentate mai sus.

Tabel IV.3. Exemplificarea codurilor binare bipolare

Valoare zecimală

Cod semn-modul

Cod binar deplasat

Cod complement faţă de 2

Cod complement faţă de 1

+7 0111 1111 0111 0111

+6 0110 1110 0110 0110

+5 0101 1101 0101 0101

+4 0100 1100 0100 0100

+3 0011 1011 0011 0011

+2 0010 1010 0010 0010

+1 0001 1001 0001 0001

0+ 0000 1000 0000 0000

0 1000 (1000) (0000) 1111

1 1001 0111 1111 1110

2 1010 0110 1110 1101

3 1011 0101 1101 1100

4 1100 0100 1100 1011

5 1101 0011 1011 1010

6 1110 0010 1010 1001

7 1111 0001 1001 1000

8 0000 1000

IV.2. CARACTERISTICA DE TRANSFER A UNUI DAC

Corespondenţa biunivocă între mărimea analogică de ieşire şi numărul prezentat la intrarea unui DAC, poate fi exprimată în principiu prin relaţia:

kNX , (IV.7)

în care X reprezintă mărimea analogică rezultată la ieşire, N – numărul prezentat la intrare, iar k – o constantă de proporţionalitate. Expresia binară a numărului N fiind:

Page 65: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

60

01i2-n1-n ... ... : aaaaaN , (IV.8)

unde s-a considerat că N are n ranguri binare, adică n biţi şi 1,0i a , valoarea zecimală a

numărului N se poate calcula cu relaţia:

1-ni

0i

ii

00

11

ii

2-n2-n

1-n1-n 222...2...22 aaaaaaN , (IV.9)

iar valoarea maximă a numărului N este:

12nmax N . (IV.10)

De obicei, mărimea analogică de ieşire, X, se exprimă sub formă normată, adică prin valorile ei raportate la o valoare X0, corespunzătoare numărului N0 = 2n:

12 maxn

0 NN , deci 00 kNX . (IV.11)

Raportând membru cu membru (IV.7) şi (IV.11), se obţine:

1-ni

0i

n-ii0

1ni

0i

iin

0n0 22

22aXa

XNXX

-

, (IV.12)

sau:

n0

)1n(1

nii

22-n

11-n0 22...2...22 aaaaaXX - , (IV.13)

Dacă în (IV.13) se inversează ordinea indicilor coeficienţilor ai, rezultă:

nk

1k

kk0

nn

)1n(1-n

kk

22

110 222...2...22 bXbbbbbXX . (IV.14)

Din (IV.10) şi (IV.12), se poate calcula valoarea maximă a mărimii analogice:

n0n

n

0max 212

12

XXX . (IV.15)

Valoarea Xmax este denumită, conform terminologiei de limbă engleză, domeniul maxim al ieşirii (Full Output Range – FR) şi reprezintă valoarea mărimii analogice de ieşire corespunzătoare valorii maxime a codului numeric de intrare, iar valoarea X0 este denumită capăt de scală (Full Scale – FS). Din (IV.15), se poate uşor constata că valoarea FS = X0 nu poate fi atinsă niciodată de către mărimea analogică de ieşire. Spre exemplificarea celor menţionate mai sus, valabile indiferent de numărul de biţi, în Fig.IV.2 este reprezentată caracteristica de transfer a unui DAC doar de 3 biţi.

000

X0

(7/8)X0

(6/8)X0

(5/8)X0

(4/8)X0

(3/8)X0

(2/8)X0

(1/8)X0

0001 010 011 100 101 110 111

INTRARE NUMERICĂ

IEŞ

IRE

AN

AL

OG

ICĂ v

Fig.IV.2. Caracteristica de transfer a unui DAC de 3 biţi.

Page 66: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

61

Semnalul analogic de ieşire înregistrează valorile discrete 0, (1/8)X0, …, (7/8)X0, denumite şi nivele de cuantificare, care corespund fiecărei secvenţe consecutive a codului numeric de intrare.

Diferenţa v dintre două nivele de cuantificare, deci cea mai mică variaţie a mărimii analogice de

ieşire care corespunde la o variaţie a codului numeric între două valori consecutive, constituie

rezoluţia DAC. Rezoluţia poate fi exprimată direct prin v, fie prin numărul de biţi, n (v = X0/2n),

prin procente din domeniul maxim al ieşirii (v = a[%] FR) sau din capăt de scală (v = a[%] FS).

Prin urmare, deşi mărimea de ieşire a unui DAC este numită analogică, aceasta nu este o mărime analogică în sensul propriu al cuvântului, adică nu este o mărime cu variaţie continuă în timp. Acest aspect este evident din (IV.14) şi Fig.IV.2, conform cărora semnalul analogic de ieşire a unui DAC rezultă ca o sumă de componente analogice ponderate binar, în funcţie de valorile biţilor corespunzători, numărul de componente fiind egal cu numărul de biţi, iar valorile conform (IV.14).

IV.3. REŢELE REZISTIVE UTILIZATE LA REALIZAREA DAC

Soluţia cea mai simplă, performanţă uitlizată de obţinere a unui set de valori, ponderate binar, ale unei mărimi analogice, tensiune sau curent, este cea bazată pe utilizarea unor reţele de rezistenţe cu valori adecvate. Reţelele de rezistenţe cu această destinaţie pot fi ponderate binar sau în scară.

IV.3.1. REŢELE REZISTIVE PONDERATE BINAR

După cum sugerează şi denumirea, aceste reţele sunt constituite dintr-un set de rezistenţe cu valori în secvenţa 21R, 22R, …, 2iR, …, 2nR, n fiind numărul de biţi. Dacă o astfel de reţea este conectată la o sursă de tensiune de referinţă curenţii care parcurg rezistenţele din reţea vor rezulta cu valori ponderate binar, conform Fig.IV.3.

Vr

+

InIn1IkI2 I1

S1 S2 Sk SnSn1

21R 2kR 2nR2n1R22R

I0 1 0 1 0 1 0 1 0 1 0

Fig.IV.3. Reţea rezistivă ponderată binar.

Neglijând rezistenţa comutatoarelor în starea închis, curenţii prin rezistenţele reţelei ponderate binar rezultă cu următoarele valori:

R

VI

1r

1 2 ;

R

VI

2r

2 2 ;

R

VI

kr

k 2 ; (IV.16)

iar curentul total, reprezentând curentul de ieşire, are valoarea:

nk

1k

k-k

rnn22110 2.... b

R

VIbIbIbI , (IV.17)

unde coeficienţii bk au valorile 1 sau 0, după cum Sk sunt pe poziţia 1 sau 0. Comutatoarele Sk mai pot fi conectate şi în alte moduri, pentru a rezulta curenţi ponderaţi binar, însă modul de conectare din Fig.IV.3 are unele avantaje, cum ar fi:

Page 67: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

62

comutatoarele fiind conectate spre masa de semnal, efectul cuplajului dintre sursa de comandă şi calea de semnal devine nesemnificativ;

prin rezistenţe circulând acelaşi curent, indiferent de poziţia comutatoarelor, curentul total absorbit de la sursa de referinţă rezultă constant, deci solicitarea sursei de referinţă în regim dinamic este practic nulă.

Reţeaua ponderată binar prezintă un dezavantajul major prin aceea că rezistenţele componente ajung la valori mult diferite între ele, dacă numărul de biţi este mare. Acest fapt afectează negativ împerecherea valorilor şi a coeficienţilor de variaţie cu temperatura ale rezistenţelor, deci precizia şi stabilitatea reţelei. Apoi, rezistenţa minimă din reţea trebuie să fie mult mai mare decât rezistenţa

ron a comutatoarelor. De exemplu, dacă n = 10 şi R = 1 k, rezultă Rmax = 210R = 1024 k.

Din motivele menţionate mai sus reţeaua rezistivă ponderată binar nu se utilizează la realizarea DAC cu un număr mare de biţi. Ea este folosită de regulă doar la realizarea unor surse multiple de curent de 4 biţi sau ca celulă de bază pentru o cifră zecimală din structura unor DAC care funcţionează în cod BCD.

IV.3.2. REŢELE REZISTIVE ÎN SCARĂ

În ideea de a se reduce numărul de valori de rezistenţe cât şi raportul dintre ele, se utilizează reţele rezistive în scară, care nu necesită decât trei valori de rezistenţă: R0, numită rezistenţă caracteristică a reţelei şi R1, R2, după rezultă din Fig.IV.4.

Vr

+

InIn1IkI2I1 R1 R1 R1 R1 R1 R0

R2 R2 R2 R2 R2 eke2 e1 enen1 en+1

n n1k21

Fig.IV.4. Reţea rezistivă în scară.

Pentru calculul valorilor rezistenţelor R1, R2 şi R0, se impun condiţii similare celor din cazul atenuatoarelor rezistive, cum ar fi:

rezistenţa de intrare în reţea trebuie să fie egală cu rezistenţa caracteristică, dacă reţeaua este închisă pe o rezistenţă egală cu cea caracteristică;

raportul între valorile a doi curenţi consecutivi trebuie să fie constant. Relaţiile care reflectă aceste condiţii sunt următoarele:

0021 RRRR şi KII n1n , (IV.18)

care prin explicitare pot fi puse sub forma:

0

02

1

021

021202

021n11n

R

RR

R

RRRK

RRRRRR

RRRIRI

, (IV.19)

din care se obţin valorile rezistenţelor din structura reţelei în scară:

02 1 RKR şi respectiv 01 1R

K

KR

. (IV.20)

Page 68: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

63

În practică, se impun rezistenţa caracteristică şi raportul de divizare şi apoi în funcţie de valorile acestora se calculează valorile rezistenţelor R1, R2. De exemplu:

pentru un cod binar: K = 2, rezultă R1 = 2R0 şi R2 = R0;

pentru un cod zecimal: K = 10, rezultă R1 = (10/9)R0 şi R2 = 9R0. Se observă că în cazul codurilor binare, reţeaua rezistivă în scară conţine numai două valori

de rezistenţe – R şi 2R, de unde şi denumirea de reţea rezistivă R/2R. Realizarea reţelei R/2R asigură din punct de vedere tehnologic obţinerea unor performanţe

maxime, în raport cu celelalte tipuri de reţele (precizie a raportului, împerecherea coeficienţilor de temperatură etc.). De regulă, aceste reţele se realizează în structuri integrate, prin tehnologia straturilor subţiri, tehnologia straturilor groase din componente discrete, cea mai performantă fiind tehnologia straturilor subţiri.

În practică, la realizarea DAC, reţeaua R/2R este utilizată într-o multitudine de moduri de conectare. Cu toate acestea, proprietăţile ei se conservă. Analizând tensiunile care apar în nodurile reţelei, se observă că acestea satisfac relaţiile:

2n1n ee ; 21nn ee ; … 212 ee ; (IV.21)

adică, tensiunile în nodurile reţelei R/2R sunt la rândul lor ponderate binar, reprezentând o consecinţă a faptului că valorile curenţilor sunt ponderate binar.

IV.4. DAC CU REŢEA R/2R ŞI REFERINŢĂ DE TENSIUNE

IV.4.1. DAC CU REŢEA R/2R ŞI IEŞIRE ÎN TENSIUNE

Schema de principiu a unui DAC de acest tip este reprezentată în Fig.IV.5.

+

-

Vr

+

S2 S1Sn Sn1 Sk

2R 2R 2R 2R 2R

R R R R v0 v0

U1

(n) (k) (2) (1)(n1)R R

0 0 0 0 01 1 1 1 1

Fig.IV.5. DAC cu reţea R/2R şi ieşire în tensiune.

Pentru calculul tensiunii de ieşire, este avantajos să se aplice teorema superpoziţiei, lucru perfect posibil fiindcă reţeaua rezistivă R/2R este liniară. Presupunând că la un moment dat este conectat la Vr un singur comutator – Sk, celelalte comutatoare fiind conectate la masa de semnal, se poate obţine schema echivalentă pentru această situaţie, conform Fig.IV.6.

Vr/2k

R v0k

(c)(b)

v0k(2) (1)(k1) R RRR

Vr/2

(k)

2R 2R 2Rv0k

(a)

Vr

+

2R R(k)

(2) (1)(k1)

2R

R R

2R 2R 2R

Fig.IV.6. Schema echivalentă a DAC pentru aplicarea teoremei superpoziţiei.

Page 69: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

64

În condiţiile precizate mai sus, schema echivalentă din Fig.IV.6.a a rezultat pe baza faptului că rezistenţa echivalentă a reţelei din stânga nodului (k) este egală cu 2R. Apoi, porţiunea de reţea din nodul (k) a fost redusă în schema din Fig.IV.6.b la o sursă echivalentă de tensiune cu valoarea

1/2 din sursa iniţială şi cu rezistenţa internă R = (2R2R). Se observă că schema echivalentă

corespunzătoare nodului (k1), Fig.IV.6.b, este identică ca formă cu cea corespunzătoare nodului

(k), Fig.IV.6.b. Continuând acelaşi procedeu de reducere a schemei echivalente, se ajunge în final la schema echivalentă corespunzătoare nodului (1), conform Fig.IV.6.c. Astfel, dacă numai un singur comutator, Sk este conectat la sursa Vr, celelalte fiind conectate la masa de semnal, reţeaua R/2R este echivalentă cu o sursă de tensiune având rezistenţa internă egală cu R şi nivelul conform relaţiei:

kr0k 2Vv . (IV.22)

Prin urmare, dacă comutatorul Sk este conectat Vr contribuie la ieşire cu tensiunea v0k = Vr/2k,

iar dacă este conectat la masă contribuţia în tensiune este nulă. Plecând de la această premiză şi considerând tensiunea de ieşire ca o suprapunere de efecte generate de cele n comutatoare, rezultă expresia generală a tensiunii de ieşire:

nk

1k

kkr0 2bVv . (IV.23)

Totodată, trebuie reţinut şi faptul că rezistenţa de ieşire din reţea este constantă şi egală cu R, aspect care simplifică problemele relative la adaptarea de impedanţă şi la compensarea curenţilor de intrare ai amplificatorului operaţional de ieşire, U1. În concluzie, schema echivalentă a DAC din Fig.IV.5 are forma din Fig.IV.6.c, cu aceeaşi rezistenţă internă – R, însă cu valoarea tensiunii totale conform (IV.23).

IV.4.2. DAC CU REŢEA R/2R ŞI IEŞIRE ÎN CURENT

Schema de principiu a unui DAC de acest tip este reprezentată în Fig.IV.7.

+

-

rV

+

S2 S1Sn Sn1 Sk

2R 2R 2R 2R 2R

2R R R R Rv0

I0

U1

R

2R+

rV

0 0 0 0 01 1 1 1 1

Fig.IV.7. DAC cu reţea R/2R şi ieşire în curent.

Pentru calculul curentului de ieşire din reţea, se aplică aceeaşi metodologie ca şi la pct.IV.4.1. Luând în considerare schema echivalentă din Fig.IV.6.c şi concluziile finale de la pct.IV.4.1, schema echivalentă globală a DAC rezultă conform Fig.IV.8. Relaţiile care descriu situaţia din Fig.IV.8 sunt următoarele:

nk

1k

kk

r0R0 2b

R

V

R

vI , respectiv , (IV.24)

nk

1k

kkr00 2bVRIv

unde cu v0R s-a notat tensiunea totală de ieşire din reţeaua R/2R.

Page 70: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

65

nk

1k

kkr0R 2bV v

+

-

+

R

v0

I0

U1

R

Fig.IV.8. Schema echivalentă a unui DAC cu reţea R/2R şi ieşire în curent.

Pe baza schemei echivalente din Fig.IV.8, se observă că schema DAC din Fig.IV.7 permite aplicarea unei deplasări de nivel cu ajutorul unei surse de tensiune sau curent de referinţă, care să

transforme DAC din convertor unipolar în bipolar, aşa cum se sugerează în Fig.IV.7 prin şi

rezistenţa adiţională 2R. Astfel, curentul suplimentar injectat la intrarea IN a etajului rezultă de

valoarea

rV

RVI 2rd . Pentru ca DAC să devină bipolar, curentul Id, destinat deplasării de nivel,

trebuie să aibă valoarea egală cu ponderea bitului MSB, condiţie îndeplinită dacă rr VV .

Datorită etajului inversor U1, tensiunea de ieşire a convertorului corespunde codului de intrare inversat analogic. Dacă acest aspect constituie un impediment, problema se poate rezolva prin inversarea polarităţii ambelor surse de referinţă din Fig.IV.7, ceea ce are ca rezultat inversarea sensului de variaţie al tensiunii de ieşire, astfel ca acesta să coincidă cu sensul de variaţie al codului numeric de intrare neinversat analogic. În cazul funcţionării cu semnale bipolare trebuie utilizat un cod binar adecvat acestui scop, cum ar fi de exemplu codul binar deplasat.

IV.4.3. DAC CU REŢEA R/2R ŞI COMUTAREA CURENŢILOR

Schema de principiu a unui DAC de acest tip este reprezentată în Fig.IV.9.

+

-

rV

+

S2 S1 SnSk

2R 2R 2R 2R

R R R

v0

I0

U1

R

2R+

rV

I2I1 InIk

R

R

0 0 0 01 1 1 1

Fig.IV.9. DAC cu reţea R/2R şi comutarea curenţilor.

Având în vedere proprietăţile reţelei în scară, analizate la pct.IV.3.2 şi luând în considerare

faptul că intrarea IN a amplificatorului operaţional U1 constituie punct de masă virtual, curenţii

prin reţea nu depind de poziţia comutatoarelor S1, S2, …, Sn. Ca urmare, expresia curentului total de ieşire din reţeaua R/2R, rezultă de forma:

nk

1k

kk

rnk

1kk0 2b

R

VII , (IV.25)

în funcţie de care se calculează valoarea tensiunii de ieşire a DAC, astfel:

nk

1k

kkr00 2bVRIv , (IV.26)

Page 71: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

66

unde valorile coeficienţilor bk depind de poziţia comutatoarelor Sk. Şi în cazul schemei de mai sus poate fi aplicată o deplasare de nivel pentru transformarea DAC din convertor unipolar în bipolar. De asemenea, dacă este nevoie, poate fi inversată polaritatea

surselor de referinţă ( şi ), pentru a se contracara efectul inversării sensului de variaţie al

tensiunii de ieşire, de către etajul U1.

rV

rV

Dacă în Fig.IV.9 se înlocuieşte reţeaua R/2R cu o reţea rezistivă ponderată binar, concluziile desprinse de mai sus rămân în totalitate perfect valabile.

IV.5. DAC CU REŢEA R/2R ŞI REFERINŢĂ DE CURENT

Convertoarele DAC cu sursă de referinţă în curent s-au impus în practică, datorită faptului că pot asigura o viteză de conversie mai mare. Ca mărime de referinţă, poate fi utilizat un singur curent de referinţă sau n – curenţi de referinţă.

IV.5.1. DAC CU REŢEA R/2R ŞI UN SINGUR CURENT DE REFERINŢĂ

Schema de principiu a unui DAC de acest tip este reprezentată în Fig.IV.10.

+

-

S2 S1 SnSk

2R 2R 2R 2R

R R R

v0

I0

U1

R

I2I1 InIk

R

R

Ir

Ir

0 0 0 01 1 1 1

Fig.IV.10. DAC cu reţea R/2R şi un singur curent de referinţă.

Se observă că schema din Fig.IV.10 este similară cu cea din Fig.IV.9. Ca urmare, din aceleaşi considerente ca şi la pct.IV.4.3, sunt valabile relaţiile:

nk

1k

kkr0 2bII , (IV.27)

în funcţie de care se calcula valoarea tensiunii de ieşire a DAC, astfel:

nk

1k

kkr00 2bRIRIv , (IV.28)

unde valorile coeficienţilor bk depind de poziţia comutatoarelor Sk.

IV.5.2. DAC CU REŢEA R/2R ŞI N – CURENŢI DE REFERINŢĂ

Schema de principiu a unui DAC de acest tip este reprezentată în Fig.IV.11. Considerând că numai comutatorul Sk aplică curent de referinţă reţelei R/2R, celelalte comutatoare conectând curenţii de referinţă la masă, se observă că reducând circuitul din partea stângă a nodului (k), rezultă schema echivalentă din Fig.IV.12.

Page 72: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

67

SkSn S1S2

2R 2R 2R 2R

R R R

v0 IkIn I1I2

R

R

Ir Ir Ir Ir Ir

(n) (k) (2) (1)

0 0 0 0 01 1 1 1 1

Fig.IV.11. DAC cu reţea R/2R şi n – curenţi de referinţă.

(c)(b)

2

2RIE r

v0k(2) (1)(k1) R RRR (k)

2R 2R 2R

(a)

IrR v0k

(k)(2) (1)(k1)

R

R R

2R 2R 2R kr

2

2RIE

R v0k

Fig.IV.12. Schema echivalentă a DAC pentru aplicarea teoremei superpoziţiei.

Schema echivalentă din Fig.IV.12.a a rezultat pe baza faptului că rezistenţa echivalentă a reţelei din stânga nodului (k) este egală cu R. Apoi, porţiunea de reţea din nodul (k) a fost redusă în Fig.IV.12.b la o sursă echivalentă de tensiune cu valoarea RIr = 2RIr/2. Mai departe, schema a fost redusă similar cu Fig.IV.6.b. Astfel, conform teoremei superpoziţiei, tensiunea de ieşire va fi sumă tensiunilor produse de fiecare curent de referinţă aplicat reţelei R/2R, conform expresiei:

nk

1k

kkr

nk

1kk

rk0 22

2

2bRI

RIbv . (IV.29)

Ca mărime de ieşire din convertor poate fi utilizată tensiunea, conform (IV.29) sau curentul de ieşire în scurtcircuit, conform relaţiei următoare:

nk

1k

kkr

00 22 bI

R

vI . (IV.30)

Pentru transformarea DAC din convertor unipolar în convertor bipolar, se poate aplica la ieşire o deplasare de nivel în curent, cu ajutorul unui generator de curent de sens contrar, având valoarea egală cu Ir, după cum se sugerează în Fig.IV.11.

IV.6. DAC ÎN COD BCD

Codul BCD fiind utilizat la aparatele de măsurat cu afişaj numeric, deci înainte de afişaj informaţia de măsurare se află convertită numeric în cod BCD, poate prezenta utilitate practică şi realizarea unor DAC care să funcţioneze direct în cod BCD.

Schema de principiu a unui DAC în cod BCD 8421 este reprezentată în Fig.IV.13. Reţeaua este constituită din mai multe celule de 4 biţi, în Fig.IV.13 fiind reprezentate doar două, realizate din rezistenţe ponderate binar care reprezintă cifrele zecimale sau digiţii, atenuarea între digiţi fiind realizată cu rezistenţele Rc, conform reţelelor în scară. Dacă se notează cu Rp rezistenţa echivalentă

Page 73: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

68

a unei celule de un digit: Rp = R2R4R8R, schema echivalentă a reţelei se reduce conform

Fig.IV.14, unde indicele k reprezintă rangul digitului, iar indicele q – rangul bitului din digit. Având în vedere relaţiile deduse la pct.IV.3.2, rezultă K = 10, Rc = 9R0, Rp = (10/9)R0.

+

S11

v0

Vr

Rc RcR0 D1 D2

LSD MSD

10k 20k 40k 80k 10k 20k 40k 80kR 2R 4R 8R R 2R 4R 8R

S12 S13 S14 S21 S22 S23 S24

Fig.IV.13. DAC în cod BCD.

Se poate constata că schema din Fig.IV.14 este similară cu cea din Fig.IV.5 şi ca urmare, aceasta poate fi redusă în manieră similară cu schema din Fig.IV.6, singura deosebire fiind cea relativă la raportul K, care în acest caz are valoarea 10 în loc de 2.

Vr

+

S2q S1qSnq S(n1)q Skq

Rp

v0(n) (k) (2) (1)(n1)

Rp Rp Rp Rp

R0 Rc Rc Rc Rc Rc

rkV

10

9 v

R0 v0kq

(b) (a)

Fig.IV.14. Schema echivalentă a DAC în cod BCD: (a) – schema generală; (b) – schema pentru Skq conectat la Vr.

Considerând comutatoarele din structura unui digit pe aceeaşi poziţie, tensiunea de ieşire din reţea, corespunzătoare unui comutator echivalent Skq conectat la Vr, având valoarea din Fig.IV.14.b, se poate deduce expresia generală a tensiunii de ieşire, indiferent de starea comutatoarelor:

nk

1k

4q

1q

qkq

kr

nk

1k0kq0 2109 bVvv , cu condiţia

16

92

4q

1q

qkq

b . (IV.31)

Reţeaua rezistivă din structura DAC în cod BCD poate fi conectată şi astfel încât mărimea de ieşire să fie curent, în mod similar cu schema din Fig.IV.7. Sau, reţeaua poate fi aranjată şi pe principiul comutării curenţilor, similar cu schema din Fig.IV.9.

IV.7. DAC CU GENERATOARE DE CURENŢI PONDERAŢI

O soluţie cu largă răspândire, de realizare a DAC, este cea bazată pe utilizarea unor generatoare de curenţi ponderaţi binar. Această soluţie asigură cele mai bune performanţe în ceea ce priveşte viteza de răspuns. Generatoarele de curenţi ponderaţi sunt realizate atât cu reţele rezistive ponderate binar, cât şi cu reţele rezistive în scară.

Page 74: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

69

Celula de bază din structura unui DAC o constituie generatorul de curenţi de 4 biţi care poate fi realizat ca circuit de sine stătător sau poate intra în componenţa surselor de curent cu un număr mai mare de biţi. Schemele de principiu ale celor două tipuri de generatoare de curenţi de 4 biţi sunt reprezentate în Fig.IV.15. În Fig.IV.15.a se utilizează o reţea ponderată binar, iar în Fig.IV.15.b una R/2R. Etajul U1, Rr şi Q0 constituie un generator de curent de referinţă pentru celula de 4 biţi.

Diferenţa de tensiune dintre baza lui Q0 şi V, produsă de curentul prin Q0, este utilizată ca tensiune

de referinţă pentru generatoarele de curent ponderate binar.

(a)

+

-

+Vr

Rr

U1

Q0 Q1 Q2 Q3 Q4

Ir I I/2 I/4 I/8S1 S2 S3 S4

I0

I0

8A 8A 4A 2A A

R0 R1 R2 R3 R4

10k 10k 20k 40k 80kV

I0 I1 I2 I3 I4

(b)

+Vr

Rr

U1

Q0 Q1 Q2 Q3 Q4

2R

Ir I I/2

V

I/4 I/8S1 S2 S3 S4

2R2R2R2R2RR R R

I/8V

+

Q5

8A 8A 4A 2A A A

I0 I1 I2 I3 I4 I5

+

-

I0

I0

Fig.IV.15. Generatoare de curenţi ponderaţi de 4 biţi: (a) – cu reţea rezistivă ponderată binar; (b) – cu reţea rezistivă în scară.

În cazul schemei din Fig.IV.15.a, neglijând, pentru tranzistoarele Q0-Q4, curenţii de bază faţă de curenţii de colector sau emitor, se pot scrie următoarele relaţii:

rrr0 RVII ; 44BE422BE211BE100BE0 ... IRvIRvIRvIRv . (IV.32)

Dacă se realizează condiţia:

BE4BE2BE1BE0 ... vvvv , rezultă 44221100 ... IRIRIRIR , (IV.33)

adică:

III 01 ; III 112 22 ; III 2

23 22 ; III 334 22 . (IV.34)

În cazul schemei din Fig.IV.15.b, având în vedere proprietăţile reţelei R/2R, se obţin aceleaşi rezultate, tranzistorul Q5 fiind necesar pentru a încheia reţeaua R/2R.

Pentru asigurarea egalităţii între tensiunile bază-emitor, tranzistoarele sunt realizate cu arii de emitor ponderate binar, astfel încât să lucreze la aceeaşi densitate de curent. Această soluţie asigură

totodată şi egalitatea factorilor de amplificare în curent, , precum şi o mai bună adaptare şi

urmărire termică pentru şi vBE.

Un exemplu de DAC realizat pe acest principiu îl constituie circuitul integrat DAC 08,

fabricat în trecut şi în România, a cărui schemă electrică simplificată este reprezentată în Fig.IV.16. Se observă că primii 4 biţi, cei mai semnificativi, sunt realizaţi conform Fig.IV.15.b, iar următorii 4 biţi, cei mai puţin semnificativi sunt realizaţi cu o sursă de curent slave comandată de către generatorul de curent Q5, care ar trebui să încheie reţeaua R/2R de la cei patru biţi anteriori. Schema este completată cu o reţea de polarizare, REŢEA.POL şi cu una de comandă a comutatoarelor.

Page 75: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

70

+

-

U1 Q0 Q1 Q2 Q3 Q4

2R

I

V

S1 S2 S3 S4

2R2R2R2RR

R R R

V+

Q5

16A

B1 B2 B3 B4 B5

I0

I0

8A 4A 2A A A

4A 2A A AQ6 Q7 Q8 Q9

S5 S6 S7 S8

B6 B7 B8VLC

2-1

I 2-2

I 2-3

I 2-4

I 2-5

I 2-6

I 2-7

I 2-8

I 2-8

I

2-4

I

COMP

REF+

REŢEA.POL REŢEA COMANDĂ COMUTATOARE

V

MSB LSB

Fig.IV.16. Schema electrică simplificată a circuitului integrat DAC 08.

Polarizarea terminalului VLC este la latitudinea utilizatorului ca să permită interfaţarea cu orice familie de circuite logice. Comutatoarele de curent sunt realizate cu tranzistoare conform Fig.IV.17. Notaţiile terminalelor coincid cu cele din Fig.IV.16, exceptând Bn care reprezintă un bit generic.

Bn

V

Q1 Q2

Q3 Q4

V+

I0

I0

VLC

2-n

I

Fig.IV.17. Schema electrică unui comutator de curent din circuitului DAC 08.

IV.8. DAC INDIRECTE

Dacă viteza nu este critică se pot utiliza DAC indirecte. Acestea transformă într-o primă etapă codul numeric într-un semnal analogic intermediar din care se obţine mai departe semnalul analogic de ieşire. Semnalul intermediar poate fi un şir de impulsuri cu frecvenţa constantă şi durata dependentă de codul numeric sau cu durata constantă şi cu frecvenţa dependentă de codul numeric. În ambele cazuri valoarea medie a acestor impulsuri reprezintă mărimea de ieşire a DAC. Cele două tipuri se numesc DAC cu conversiune intermediară în timp, respectiv în frecvenţă.

Page 76: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

71

IV.8.1. DAC INDIRECT CU NUMĂRĂTOR PRESETABIL

Schema de principiu a unui astfel de DAC este reprezentată în Fig.IV.18.

NUMĂRĂTORREVERSIBILPRESETABIL

f0

FTJ

GTLCLOCKDOWN

PRESET

BORROWOUT

F.IM

G

B1:2n

P

COD NUMERIC

DVv0

v0

(I)

(II)

Fig.IV.18. DAC cu conversiune intermediară în timp şi numărător presetabil.

Convertorul este constituit dintr-un generator de frecvenţă etalon, G, care produce impulsuri cu frecvenţa f0. Aceste impulsuri sunt aplicate printr-o poartă, P la intrarea de decrementare a unui numărător reversibil şi presetabil. Numărărtorul primeşte la intrările de date codul numeric de n biţi, care este încărcat în numărător cu frecvenţă f0/2

n, obţinută prin divizare cu 2n din f0 şi aplicată la intrarea de preset a numărătorului. Bistabilul B de comandă a porţii P este trecut în starea HIGH odată cu presetarea numărătorului şi deschide poarta P, apoi este resetat când numărătorul trece prin zero. Ca urmare, perioada impulsurilor de la ieşirea bistabilului va fi egală cu 2nT0, unde T0 = 1/f0, iar durata acestora va avea valoarea:

. (IV.35)

1-nk

0k0

kk0 2 TaNT

Dacă impulsurile generate de bistabil au amplitudinea V, atunci valoarea medie va fi:

1-nk

0k

kkn

0n

1-nk

0k0

kk

0 222

2a

VV

T

TaV

Tv . (IV.36)

Valoarea medie poate fi extrasă cu ajutorul unui bloc (I), constituit dintr-un formator de impulsuri, F.IM şi un filtru trece-jos, FTJ. Altă soluţie de obţinere a mărimii de ieşire, poate fi măsurarea duratei impulsurilor cu ajutorul unui bloc (II), constituit dintr-un generator de tensiune liniar-variabilă, GTL şi un circuit detector de vârf, DV. În comparaţie cu extragerea valorii medii, această a doua soluţie este mai complicată şi mai puţin precisă, în schimb este mult mai rapidă.

IV.8.2. DAC INDIRECT CU NUMĂRĂTOR ŞI COMPARATOR NUMERIC

Schema de principiu a unui astfel de DAC este reprezentată în Fig.IV.19.

COMPARATOR

NUMERIC f0

FTJ F.IM

GCOD

INTRARE

v0

N

Fig.IV.19. DAC cu numărător şi comparator numeric.

Page 77: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

72

Codul numeric de intrare, de n biţi este comparat în permanenţă cu codul numeric de la ieşirea unui numărător cu acelaşi număr de biţi. Comparatorul numeric va avea ieşirea în starea HIGH dacă codul numeric de la numărător are valoare mai mică decât cel de la intrare şi în starea LOW în caz contrar. Ca urmare, impulsurile de la ieşirea comparatorului numeric vor avea aceeaşi perioadă şi durată ca şi la pct.IV.8.1. Deci expresia valorii medii a impulsurilor de ieşire va avea forma (III.36).

IV.8.3. DAC INDIRECT CU GENERATOR DE SEMNAL PSEUDOALEATOR

Schema de principiu a unui astfel de DAC este reprezentată în Fig.IV.20. Deosebirea faţă de schema din Fig.IV.19 constă în faptul că secvenţa de 2n-1 coduri nu mai este generată în ordine crescătoare, ci este generată şi aplicată comparatorului numeric în ordine pseudoaleatoare.

COMPARATOR

NUMERIC f0

FTJ F.IM

G COD

INTRARE

v0

GENERATOR SEMNAL

PSEUDOALEATOR

Fig.IV.20. DAC cu comparator numeric şi generator de semnal pseudoaleator.

Ca urmare a celor menţionate mai sus durata pe care semnalul de ieşire al comparatorului numeric se află în starea HIGH nu mai este continuă, ci este fragmentată şi distribuită pe întreaga perioadă a unui ciclul. Acest aspect prezintă avantajul că uşurează sarcina FTJ care va trebui să aibă constantă de timp mult mai mică, deci viteza de răspuns va fi mai mare în aceeaşi măsură. Convertoarele care funcţionează pe bază de generator de semnal pseudoaleator mai sunt cunoscute şi sub denumirea de DAC stochastice. Convertoarele DAC cu conversiune intermediară în timp, indiferent de tip, pot fi realizate cu rezoluţii de până la 10-12 biţi sau mai mult, au timp de răspuns de ordinul milisecunde-zeci de milisecunde şi pot funcţiona cu semnale unipolare cât şi bipolare.

IV.9. DAC CU FUNCŢIONARE SERIE

În aplicaţiile practice apar frecvent şi situaţii în care codul numeric de convertit analogic se află sub formă serie. În această situaţie este necesar un registru de deplasare cu intrare serie şi ieşire paralel, în care să fie stocat codul numeric pentru a fi posibil de convertit analogic cu ajutorul unui DAC paralel. În acest caz, viteza mare de conversie a DAC paralele nu poate fi pusă în valoare deoarece viteza de recepţie a codului numeric serie este mică decât a unui cod paralel. În astfel de aplicaţii pot deveni adecvate DAC cu funcţionare serie capabile să execute conversia analog-numerică bit cu bit, pe măsura recepţionării biţilor codului numeric de intrare. O altă particularitate interesantă şi utilă a DAC serie este aceea că complexitatea schemelor electrice este independentă de numărului de biţi ai codului numeric de prelucrat.

IV.9.1. DAC SERIE CU TRANSFER DIRECT DE SARCINĂ

Principiul de funcţionare al unui DAC serie cu transfer direct de sarcină, rezultă din schema electrică şi diagramele temporale reprezentate în Fig.IV.21.

Page 78: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

73

+

-

+

-

fc

v0

U1

U2

U3

U4

U5

U6 U7

S1

S2

S3S4

C1 C2 C0

Tm

fc

x

fc

Tm

fc

x

fc

Vr

(a)

0 1 2 3 4 5 6 7 8 9

1 1 0 1 0 1 0 0

Tm

fc

x

S1

S2

S3

S4 (b)

Fig.IV.21. DAC serie cu transfer direct de sarcină:

(a) – schema electrică de principiu; (b) – diagramele temporale.

Frecvenţa de clock – fc reprezintă frecvenţa de recepţie a biţilor codului serie, durata unui bit fiind egală cu o semiperioadă a impulsurilor fc. Semnalul Tm are nivel logic 1 pe un număr de perioade a semnalului fc egal cu numărul de biţi ai codului şi nivel logic 0 pentru o perioadă fc. Semnalul x reprezintă codul numeric serie.

Pentru prima semiperioadă a semnalului fc, dacă bitul codului numeric este 1, este închis S1 şi deschise S2, S3, astfel încât condensatorul C1 se încarcă la valoarea tensiunii de referinţă – Vr. Dacă bitul codului numeric este 0, este închis S2 şi deschise S1, S3, astfel încât condensatorul C1 se descarcă la valoarea zero volţi. În a doua semiperioadă a semnalului fc sunt deschise S1, S2 şi închis S3, astfel încât condensatorul C1 este conectat în paralel cu C2, tensiunile lor egalizându-se ca valoare. Deoarece, C1 = C2, rezultă că se egalizează şi sarcinile electrice ale acestora.

Procesul descris mai sus se desfăşoară bit cu bit, începând cu LSB şi terminând cu MSB, după care este închis S4 şi C0 se încarcă la aceeaşi tensiune cu C2, adică la ieşire va apare o tensiune proporţională cu valoarea codului numeric de intrare.

În Tabel IV.4 se prezintă evoluţia valorilor raportate la Vr ale tensiunilor de pe

condensatoarele C1, C2, unde şi reprezintă valorile corespunzătoare din prima semiperioadă

a tactului, iar vC2 – valoarea din a doua semiperioadă a tactului. Deoarece în prima semiperioadă a tactului C1 este încărcat fie la Vr, fie la zero volţi, iar în doua semiperioadă C1 este conectat în paralel cu C2, se poate scrie relaţia:

C1v

C2v

2C2C1

C2

vvv . (IV.37)

Page 79: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

74

Tabel IV.4. Evoluţia mărimilor caracteristice funcţionării DAC serie

Nr.perioadă x C1v

C2v vC2

1 1 1 0 1/2

2 1 1 1/2 3/4

3 0 0 3/4 3/8

4 1 1 3/8 11/16

5 0 0 11/16 11/32

6 1 1 11/32 43/44

7 0 0 43/64 43/128

8 0 0 44/28 43/256

9 0 0 0 0

Având în vedere că în momentul iniţial C1 şi C2 sunt descărcate complet, cu (IV.37) se pot calcula valorile cu care evoluează tensiunea vC2, valoarea finală fiind:

rr8r8C2 256

43

2

00101011

2VVV

Nv . (IV.38)

Totodată, (IV.37) poate fi scrisă şi sub formă generică:

2

1C2rkC2

kvVakv , (IV.39)

unde ak reprezintă valoarea bitului k, k fiind numărul de ordine al bitului. Dezvoltând (IV.39) pentru câteva valori ale lui k, corespunzătoare codului numeric 00101011, considerat ca exemplu în Fig.IV.21 şi Tabel IV.3, se obţin relaţiile de mai jos:

; 2...222

1

; 222

12

; 222

01

n1

21n

1nr

C2rnC2

21

12r

C2r2C2

11r

1r

r1C2

aaaVnvVa

nv

aaVvVa

v

aVa

VVa

v

(IV.40)

deci:

nk

1k

kkrC2 2bVnv , (IV.41)

unde s-a inversat ordinea de numerotare a coeficienţilor ak (an b1, …, a1 bn).

IV.9.2. DAC SERIE CU TRANSFER CICLIC DE SARCINĂ

Schema de principiu a unui DAC serie cu transfer ciclic de sarcină este prezentată în Fig.IV.22. Comutatoarele S1 şi S2 sunt comandate de biţii codului serie. Dacă ak = 1, este închis S1 şi deschis S2, iar dacă ak = 0, este închis S2 şi deschis S1.

În condiţiile precizate mai sus, curentul i1 va avea valoarea:

R

Vaki r

k1 . (IV.42)

Page 80: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

75

+

-

+

-

v0

U3 S1

S2

S3

S4

C1

C2

C0

U1

U2

Vr

S5

S6

S7

1R

R

R/2i1

i2

v2

Fig.IV.22. DAC serie cu transfer ciclic de sarcină:

Comutatoarele S4-S7 sunt comandate alternativ. Pe durata unui bit se închid S4 şi S7, C1 încărcându-se de la ieşirea lui U2, iar C2 debitând la intrarea lui U1. Pe durata bitului următor, se închid S5 şi S6, C2 încărcându-se de la ieşirea lui U2, iar C1 debitând la intrarea lui U1. Ca urmare, curentul i2 va avea valoarea:

R

kVki

122

, (IV.43)

unde s-a considerat RC1, RC2 Tc, Tc fiind perioada impulsurilor de tact, astfel ca tensiunea şi

sarcina condensatoarelor să rămână constante pe durata Tc. Din (IV.42) şi (IV.43) rezultă expresia tensiunii de la ieşirea U2, pentru bitul curent:

2

1

22rk

212

kvVaRkikikv . (IV.44)

Dezvoltând (IV.44), se obţin relaţiile:

; 2...222

1

; 222

12

; 222

01

n1

21n

1nr

2rn2

21

12r

2r22

11r

1r

r12

aaaVnvVa

nv

aaVvVa

v

aVa

VVa

v

(IV.45)

deci:

nk

1k

kkr2 2bVnv , (IV.46)

unde s-a inversat ordinea de numerotare a coeficienţilor ak (an b1, …, a1 bn). Se observă că

(IV.44)-(IV.46) au aceeaşi formă ca şi (IV.39)-(IV.41). După ce au fost recepţionaţi toţi biţii codului binar se închide S3 şi astfel v2(n) este transferată la ieşire prin memorare pe C0, apoi se închid S6, S7 şi S8, pentru a se aduce schema în starea iniţială, în vederea recepţiei următorului cuvânt binar serial. Dacă în cazul DAC cu transfer direct de sarcină (pct.IV.9.1) era necesară condiţia C1 = C2, abaterea de la această condiţie constituind o sursă de erori, la DAC cu transfer ciclic de sarcină C1 şi C2 nu mai trebuie să fie riguros egale, însă această condiţie apare transferată asupra rezistenţelor din reţeaua de reacţie a etajelor U1 şi U2. DAC cu transfer ciclic de sarcină este mai precis şi de două ori mai rapid decât cel cu transfer direct, dar pentru aceasta schema electrică este ceva mai complicată.

Page 81: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

76

Spre deosebire de aparatura numerică fără microprocesor unde DAC serie erau mai dificil de utilizat, în aparatura cu microprocesor acest tip de DAC poate fi utilizat cu succes, fiindcă printre alte avantaje permite şi o separare galvanică mult mai simplă decât în cazul transmiterii datelor numerice în paralel. Prin urmare, informaţia de măsurare sau de execuţie poate fi transmisă la destinaţie în format numeric, iar conversia analog-numerică poate fi efectuată la destinaţie.

IV.10. DAC CU COMPRIMARE

Extinderea tot mai mult a tehnicilor numerice de prelucrare a semnalelor reclamă existenţa unor ADC şi DAC cu caracteristici de transfer neliniare. Una din situaţiile particulare care necesită astfel de convertoare este prelucrarea semnalelor cu gamă dinamică mare, cum ar fi semnalele din domeniul audiofrecveţei. Gama dinamică, exprimată în decibeli, este dată de o relaţie de forma:

minmaxlog20 VVN [dB]. (IV.47)

Pentru DAC liniar, gama dinamică are expresia Vmax/Vmin = 2n/1, unde n este numărul de biţi. În acest caz, exprimând gama dinamică în decibeli, rezultă:

nN 62log20 n [dB]. (IV.48)

De exemplu, un DAC liniar de 8 biţi are o gamă dinamică de 256/1 sau 48 dB, iar unul de 10 biţi are gama dinamică de 1024/1 sau 60 dB. Precizia unui DAC constituie un parametru important în majoritatea aplicaţiilor. Datorită cuantificării, precizia unui DAC se exprimă prin intermediul erorii raportate, fiindcă eroarea absolută este constantă şi egală de obicei cu ponderea a LSB/2. Ca urmare, eroarea relativă variază invers proporţional cu valoarea măsurată. Deci, pentru a se menţine eroarea relativă sub un nivel acceptabil, trebuie mărit numărul de biţi al DAC, ceea ce conduce la creşterea preţului în aceeaşi măsură. Totodată, DAC nu este utilizat eficient, fiindcă la limita inferioară a gamei de măsurare precizia este insuficientă, iar spre limita superioară devine mult mai mare decât este necesar. Aceste cerinţe contradictorii sunt satisfăcute mult mai bine de către un convertor cu caracteristică neliniară, numit şi convertor cu comprimare. Tehnicile de compresie şi decompresie sunt utilizate pe scară largă şi în prelucrările pur analogice ale semnalelor, cum ar fi de exemplu prin amplificatoare logaritmice şi exponenţiale. Pe lanţul de prelucrare numerică a unor astfel de semnale, cu gamă dinamică largă, ADC este tot neliniar şi asigură compresia semnalelor, iar DAC realizează operaţia inversă, adică decompresia. De exemplu, un DAC de 8 biţi utilizat pentru decompresia datelor numerice are caracteristica de transfer aproximată prin 8 segmente de dreaptă, pentru fiecare polaritate a semnalului, fiecare segment având 16 trepte egale. Cuvântul de 8 biţi cuprinde un bit pentru selecţia semnului, 3 biţi pentru selecţia unuia din cele 8 segmente de dreaptă şi 4 biţi pentru selecţia uneia din cele 16 trepte. Valoarea treptelor este aceeaşi pentru un segment dat, dar se dublează pentru segmentul următor. Schema bloc a unui astfel de DAC este reprezentată în Fig.IV.23. Generatorul curenţilor de segment, GCS, produce curenţi ponderaţi binar (I1 = 2I0, I2 = 2I1, …, I7 = 2I6), fiecare din cei 8 curenţi corespunzând unui segment al caracteristicii. Primul segment pleacă din origine, iar celelalte pleacă de la un anumit curent de piedestal, egal cu suma curenţilor de valoare inferioară. Selectarea curentului de segment, Ik şi a celui de piedestal, Ipk, se realizează cu selectorul de curent, SC. Curentul Ik serveşte ca şi curent de referinţă pentru generatorul curenţilor de treaptă, care este un generator slave şi produce curenţi cu valorile:

Page 82: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

77

kk0 5,16

5,1II ; kk1 5,16

1II ; kk2 5,16

2II ; kk3 5,16

4II ; kk4 5,16

8II . (IV.49)

I0

Ip1 Ip2 Ip3

TREAPTĂ

SEGMENT

(b)

3n

0nTknknn IIa

SELECTOR CURENT TREPTĂ

(SCT)

a0

GENERATOR CURENT TREPTĂ

(GCT)

GENERATOR CURENT PIEDESTAL

(GCP)

SELECTOR CURENT (SC)

GENERATOR CURENT SEGMENT

(GCS)

a1 a2 a3

a4 a5 a7

1kq

0qpkq II

Ik4

Ik

I7

Ik3 Ik2 Ik1 Ik0

Ip(k1) . . . Ip1 Ip0

I6 I5 I4 I3 I2 I1 I0

(a)

Fig.IV.23. Principiul de funcţionare al unui DAC cu comprimare:

(a) – schema bloc; (b) – sinteza funcţiei de decomprimare.

Curentul Ik este divizat prin 16,5, în loc de 16, iar Ik0 = 1,5treaptă, în loc de o treaptă, astfel

ca prima treaptă a noului segment să aibă valoarea numai de 1,5 ori mai mare decât treptele segmentului anterior pentru a se netezi caracteristica de transfer. Cu excepţia primei trepte, celelalte au valoare dublă faţă de treptele segmentului anterior. Notând cu IS0 curentul primului segment şi cu IT0 = IS0/16,5 valoarea treptei de curent corespunzătoare primului segment, se poate calcula valoarea curentului pentru oricare din cele 256 de puncte de pe caracteristica bipolară de transfer a DAC:

Tknpk0 III , (IV.50)

unde:

. 2...22iar ;

; 122...2

T0k

)2T(k2

)1T(kTkTkTkn

S0k

S01k

S0S0

1kq

0qqpk

IIIINII

IIIIII

(IV.51)

Prin urmare, (IV.49) devine:

T0k

S0k

0 212 INII , (IV.52)

unde N = 0, 1, …, 15 este numărul treptei, iar N = 0, 1, …, 7 – numărul segmentului. Un DAC cu comprimare poate asigura o gamă dinamică de 72 dB şi o eroare de 1,6%...3,2%, pe întreg domeniul de variaţie. Aceste tipuri de DAC sunt utilizate în domeniul audio ca generatoare de funcţii, înregistrări numerice, control numeric al câştigului, sinteza vocii, reverberaţii şi efecte speciale, atenuări logaritmice, interfeţe pentru diverse traductoare, sisteme radar etc. Se realizează ca circuite integrate atât ca ADC pentru compresia semnalelor, cât şi ca DAC pentru decompresie.

Page 83: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

78

IV.11. DAC CU MULTIPLICARE

Aşa după cum s-a mai menţionat în acest capitol la pct.IV.2, caracteristica de transfer a unui DAC este descrisă matematic de o relaţie de forma:

NXX 0 , (IV.53)

unde X reprezintă mărimea analogică de ieşire, X – mărimea numerică de intrare, iar X0 – o mărime analogică de referinţă, tensiune sau curent. În aplicaţiile practice curente care implică conversie numeric-analogică mărimea analogică de referinţă trebuie să fie de regulă constantă şi cunoscută cu precizie, determinând precizia conversiei. Dacă mărimea de referinţă este variabilă, semnalul analogic de ieşire va reprezenta produsul dintre cele două mărimi de intrare, una fiind codul numeric, iar cealaltă mărimea analogică de referinţă. În principiu orice DAC care are tensiune de referinţă externă poate funcţiona cu tensiune de referinţă variabilă, evident între anumite limite şi cu reducerea unor performanţe. Pentru a optimiza aceste regim de funcţionare există DAC proiectate special în acest scop, cunoscute sub denumirea de DAC cu multiplicare. Aceste DAC sunt realizate de regulă cu referinţă de tensiune şi reţele R/2R. Un DAC cu multiplicare poate funcţiona în 1, 2 sau 4 cadrane funcţie de polarităţile celor 2 mărimi de intrare. În Fig.IV.24 este reprezentată caracteristica de transfer a unui DAC de 3 biţi.

REFERINŢĂ NEGATIVĂ REFERINŢĂ POZITIVĂ

FRACŢIA ZECIMALĂ

v0

vr

10V

5V

+5V

+10V

10V 5V +10V +5V

0000

0001

0010

0011

0100

0101

0110

0111

1000

1001

1010

1011

1100

1101

1110

1111

0000

0001

0010

0011

0100

0101

0110

0111

1000

1001

1010

1011

1100

1101

1110

1111

+8/8

+7/8

+6/8

+5/8

+4/8

+3/8

+2/8

+1/8

1/8

2/8

3/8

4/8

5/8

6/8

7/8

8/8

COD NUMERIC

Fig.IV.24. Caracteristica de transfer a unui DAC cu multiplicare, de 3 biţi.

DAC cu multiplicare au o gamă largă de aplicaţii, incluzând operaţii între o mărime analogică şi una numerică sau între două mărimi analogice sau numerice, cu ieşire analogică sau numerică.

Page 84: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

79

Capitolul V

CONVERTOARE ANALOG-NUMERICE – ADC

V.1. CARACTERISTICA DE TRANSFER A UNUI ADC

Conversia analog-numerică (A/D) constă în exprimarea valorii unei mărimi analogice printr-un număr. Mărimea fizică care se pretează conversiei A/D, ca de altfel tuturor prelucrărilor de semnale pe cale electronică, analogică sau logică, este tensiunea electrică. Ca urmare, celelalte mărimi electrice sau neelectrice trebuie convertite mai întâi în tensiune şi apoi A/D. La fel ca în cazul DAC şi ADC au nevoie de o tensiune de referinţă, cel puţin din motive dimensionale, cu care este comparată tensiunea de intrare, rezultatul comparaţiei fiind chiar numărul căutat,reprezentând mărimea de ieşire. Relaţia care descrie funcţia de transfer a unui ADC, este următoarea:

rx VvN sau rx NVv , (V.1)

în care N este un număr fracţionar binar, cu forma binecunoscută:

ni

1i

ii 2aN unde 1 ,0i a , (V.2)

reprezintă biţii codului numeric de ieşire – a1, a2, …, an.

Valoarea maximă a numărului N se obţine când 1 , i ai :

nni

1i

imax 212

N (V.3)

şi ca urmare:

rn

xmax 21 Vv . (V.4)

Două valori consecutive ale tensiunii vx diferă între ele cu cantitatea:

rn2 Vq , (V.5)

interval de tensiune care se numeşte cuanta procesului de discretizare şi reprezintă aproximaţia cu care este valabilă (V.1). Ca urmare, intervalul de variaţie al tensiunii de intrare este împărţit în 2n intervale de cuantificare cu lăţimea q. Toate valorile vx aflate în acelaşi interval de cuantificare vor primi acelaşi cod numeric, chiar dacă aceste valori sunt diferite între ele. Plecând de la aceste premize, un ADC de 3 biţi poate fi realizat conform schemei reprezentate în Fig.V.1. Din Fig.V.1 se observă că schema prezentată permite implementarea (V.1) cu precizarea (V.2). Astfel, ADC este constituit din 7 comparatoare, U1…U7, cărora li s-au fixat praguri cu valorile (1/8)V, (2/8)V, …, (7/8)V şi dintr-un codificator care formează un cod numeric binar egal în valoare cu numărul de comparatoare acţionate. Caracteristica de transfer corespunzătoare acestui ADC este reprezentată în Fig.V.2. În Fig.V.2.a diferenţa dintre caracteristica de transfer ideală şi reală poate atinge valoarea

maximă de 1 LSB, adică eroarea de cuantificare este cuprinsă în intervalul [0…1 LSB]. Pentru o

eroare de cuantificare simetrică este necesară introducerea în schema ADC a unei deplasări de nivel a pragurilor comparatoarelor cu 1/2 LSB, echivalent cu 1/16 Vr, rezultatul fiind cel ilustrat în Fig.V.2.b. Concret, acest rezultat se poate obţine dacă rezistenţa care fixează pragul de 1/8 Vr are valoarea R/2, în loc de R, iar prima rezistenţă de la Vr are valoarea 3R/2. În acest caz, eroarea de

cuantificare se încadrează în intervalul [1/2 LSB, +1/2 LSB].

Page 85: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

80

U1

Vr

R

R

R

R

R

R

R

R

U3

U5

U7

U2

U4

U6

a3

a2

a1

vx

(7/8)Vr

(6/8)Vr

(5/8)Vr

(4/8)Vr

(3/8)Vr

(2/8)Vr

(1/8)Vr

CO

DIF

ICA

TO

R

Fig.V.1. Principiul de funcţionare al unui ADC de 3 biţi.

Rezoluţia unui ADC reprezintă variaţia mărimii analogice de intrare care produce o schimbare între două valori consecutive a codului numeric de ieşire. Ca şi în cazul DAC, rezoluţia este egală cu vmax/2

n, unde vmax reprezintă limita superioară a intervalului de variaţie a mărimii analogice de intrare, iar n – numărul de biţi ai codului numeric de ieşire. Prin urmare, rezoluţia poate fi exprimată în [mV], având valoarea egală cu cuanta procesului de cuantificare, q (V.5), în procente din capăt de scală, [%FS] sau în număr de biţi, n.

LSBvx

0

vx

(a)

vx0

LSB/2

+LSB/2

vx

(b)

8

1

8

2

8

3

8

4

8

5

8

6rV

8

7

111

110

101

100

011

010

001

000 vx

COD

8

2

8

3

8

4

8

5

8

6rV

8

7

8

1

111

110

101

100

011

010

001

000

COD

vx

IDEAL REAL IDEAL REAL

Fig.V.2. Caracteristica de transfer a unui ADC de 3 biţi:

(a) – caracteristică necentrată şi eroarea corespunzătoare de –1 LSB; (b) – caracteristică centrată şi eroarea corespunzătoare de ±1/2 LSB.

Page 86: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

81

V.2. ADC CU FUNCŢIONARE PARALEL

Există o mare varietate de principii şi metode de realizare a ADC, fiecare soluţie practică urmărind un compromis optim între două sau mai multe din criteriile: viteză, rezoluţie şi complexitate, determinantă pentru preţul de cost. Dintre toate tipurile, ADC cu funcţionare paralel sunt cele mai rapide. Principiul lor de funcţionare, indiferent de numărul de biţi, este cel prezentat în Fig.V.1. În acest caz, timpul de conversie este constituit din suma timpilor de răspuns ai comparatoarelor şi al porţilor din structura codificatorului. Utilizând circuite logice rapide (ECL, Schottky etc.) se pot realiza timpi de conversie reduşi, cum ar fi de ordinul a 8 ns pentru un ADC paralel de 4 biţi. Dezavantajul major al ADC paralel îl constituie complexitatea, determinată de numărul mare de rezistenţe, comparatoare şi porţi logice din schema de codificare. Din Fig.V.1 se poate deduce imediat că pentru realizarea unui

asemenea ADC este necesar un număr de 2n rezistenţe, 2n1 comparatoare şi un număr de porţi

logice proporţional cu acelaşi ordin de mărime. De exemplu, pentru un ADC de 8 biţi sunt necesare 28 – 1 = 255 comparatoare. Din cauza complexităţii care creşte exponenţial cu numărul de biţi, rezoluţia acestui tip de ADC este limitată la un număr de biţi care de regulă nu depăşeşte valoarea 8. Un prim compromis între viteză, rezoluţie şi complexitate, îl reprezintă ADC cu funcţionare paralel-serie, conform schemei de principiu reprezentată în Fig.V.3.

+

-+

- UbVr

R

R

R

R

vx

CO

DIF

ICA

TO

R1

Ua

Vr

CO

DIF

ICA

TO

R2

R

R

R

R

R1

R1

R1

R1

R2

DA

C –

n/2

biţi

Un1

Un2

Un/2

Un/21

Un/22

U0

ADC-1 – n/2 biţi

LINIE DE ÎNTÂRZIERE

v1

ADC-2 – n/2 biţi

Fig.V.3. Principiului de funcţionare al unui ADC paralel-serie.

Un ADC paralel-serie de n biţi, cu n număr par, este constituit în principiu din două ADC paralel fiecare de n/2 biţi, conectate în serie printr-un artificiu de schemă. Astfel, conform Fig.V.3,

primul ADC paralel este constituit din comparatoarele Un1, Un2, …, Un/2 şi codificatorul aferent,

realizând o conversie A/D de n/2 biţi, cei mai semnificativi. Treapta de cuantificare în acest caz este vmax/2

n/2. Codul numeric rezultat este aplicat unui DAC tot de n/2 biţi, care produce la ieşire o tensiune v1. Dacă DAC are aceeaşi rezoluţie (vmax/2

n/2), rezultă că valoarea tensiunii v1 poate diferi de tensiunea vx cu o cantitate cuprinsă între valoarea zero şi valoarea treptei de cuantificare:

2nmax1x 20 vvv . (V.6)

Această diferenţă de tensiune fiind amplificată de etajul Ub cu factorul R2/R1 = 2n/2, tensiunea de ieşire a acestuia se va situa în intervalul:

Page 87: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

82

max2n

max1

21x

1

2 20 vvR

Rvv

R

R . (V.7)

Tensiunea de ieşire a etajului Ub este aplicată celui de al doilea ADC paralel, constituit din

comparatoarele Un/21, Un/22, …, U0 şi codificatorul aferent, realizând o conversie de n/2 biţi, cei

mai puţin semnificativi. Se poate deduce că în acest caz, timpul de conversie este cel puţin dublul timpului realizat de un ADC paralel de n biţi, în schimb se reduce substanţial complexitatea şi se păstrează rezoluţia de n biţi. De exemplu, pentru un ADC de 12 biţi, schema paralel necesită 212 – 1 = 4095 comparatoare, pe când schema paralel-serie necesită 2(26 – 1) = 126 comparatoare.

Un ADC paralel-serie poate avea o rată de conversie de 200 ns. Ponderea cea mai mare în timpul de răspuns o au etajele Ua şi Ub. Pentru a se asigura sincronizare de fază între tensiunile vx şi v1, efectul timpilor de propagare prin ADC-1 şi DAC se poate compensa cu o linie de întârziere, după cum este sugerat punctat în Fig.V.3.

V.3. ADC CU REACŢIE

Aceste tipuri de ADC includ de regulă în structura lor un DAC, cu ajutorul căruia codul numeric de ieşire este convertit analogic şi tensiunea rezultată este comparată cu tensiunea de

intrare. Ca urmare, tensiunea de intrare nu mai este comparată cu 2n1 tensiuni de referinţă, ci este

comparată cu o tensiune proporţională cu codul numeric de ieşire.

V.3.1. ADC CU REACŢIE ŞI NUMĂRĂTOR

O soluţie simplă de realizare a unui ADC cu reacţie este reprezentată în Fig.V.4.

vx

t

vc

vx

vc

TC1 TC2

T0

(b)(a)

+

-

S

U

TACT

R Q

DAC

N

. . .

. . .

P

B

vx

CO

D

IEŞ

IRE

vc

START

f0

TC

R CK

Fig.V.4. ADC cu reacţie şi numărător: (a) – schemă bloc; (b) – forme de undă.

Pentru iniţializarea unei conversii se resetează numărătorul – N prin comanda RS şi se setează bistabilul – B prin comanda START, fiind astfel permis accesul impulsurilor de tact prin poarta – P, spre numărător. Codul numeric de la ieşirea numărătorului este convertit analogic cu un DAC, iar tensiunea rezultată – vc este comparată cu tensiunea de intrare – vx. În momentul în care se atinge

condiţia vc vx, comparatorul – U îşi schimbă starea resetând bistabilul – B, accesul impulsurilor de

tact prin poarta – P este blocat şi numărătorul rămâne în starea din acel moment, iar codul numeric de la ieşirea numărătorului reprezintă rezultatul conversiei A/D.

Page 88: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

83

Semnalele analogice de la intrarea comparatorului sunt ilustrate grafic în Fig.V.4.b. Se observă că timpul de conversie, TC depinde de valoarea tensiunii de intrare, vx. Timpul maxim de conversie pentru n biţi are valoarea TCmax = 2nT0, unde T0 reprezintă perioada impulsurilor de tact, cu frecvenţa f0. Prin urmare, viteza de conversie este proporţională cu frecvenţa de tact. Deci pentru creşterea vitezei de conversie, se impune reducerea perioadei de tact, T0. Valoarea minimă T0 este impusă de timpul total de propagare al semnalului pe buclă:

maxpP

maxpB

maxpU

maxpDAC

maxpN

maxpP0 ttttttT , (V.8)

unde indicii cu majuscule desemnează circuitele electronice cu aceeaşi notaţie. Dacă, utilizând circuite de mare viteză, timpul de conversie creşte, poate apare necesitatea utilizării unor circuite de eşantionare-memorare şi filtrare antialising (pct.IV.7.1). Însă, de regulă, ADC cu reacţie şi numărător este adecvat pentru conversia A/D a semnalelor continue sau lent variabile, cu frecvenţa maximă sub ordinul kiloherţilor. O altă variantă a acestei scheme include un numărător reversibil, obţinându-se astfel, un ADC cu urmărire, aşa cum este exemplificat în schema din Fig.V.5.

(b)(a)

+

-

U

TACT

DAC

N

. . .

. . .

vx

CO

DIEŞ

IRE

vc

CKU/D

vx

vc

vx

t

vc

Fig.V.5. ADC cu urmărire: (a) – schemă bloc; (b) – forme de undă.

În schema din Fig.V.5 comparatorul schimbă sensul de numărare – up/down, al numărătorului, astfel ca semnalul de ieşire al DAC să urmărească semnalul de intrare.

Pentru ca acest tip de DAC să funcţioneze corect, este necesară existenţa unei corelaţii între perioada impulsurilor de tact şi viteza de variaţie a semnalului de intrare şi anume: variaţia semnalului de intrare pe durata unei perioade de tact trebuie să nu depăşească valoarea unei trepte de cuantificare, adică un LSB. În acest scop, pentru o frecvenţă de tact precizată, se pune problema stabilirii componentei de frecvenţă maximă care poate exista în spectrul semnalului de intrare. Aşadar, dacă:

tV

v sin2max , rezultă

2d

d max

max

V

t

v, (V.9)

deci condiţia de mai sus poate fi exprimată matematic astfel:

nmax

0max

22

VT

V sau 0nmax 2

1ff

, (V.10)

unde T0 şi f0 reprezintă perioada şi frecvenţa impulsurilor de tact, iar fmax – frecvenţa maximă din spectrul semnalului de intrare, care mai poate fi convertită A/D corect.

Page 89: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

84

V.3.2. ADC CU APROXIMAŢII SUCCESIVE

Convertoarele ADC cu aproximaţii succesive, spre deosebire de cele cu numărător, au durata de conversie constantă şi egală cu un număr de perioade ale tactului identic cu numărul de biţi. Rezultă deci, că la un nivel comparabil al preciziei şi complexităţii, viteza acestor convertoare va fi net superioară celor cu numărător. Există o mare varietate de ADC cu aproximaţii succesive. Funcţionarea acestora se bazează pe faptul că într-un şir de termeni ponderaţi binar, fiecare din termeni este mai mare decât suma termenilor de rang inferior. Presupunând că:

ni

0i

iir

ii

22

11rx 22...22 aVaaaVv , (V.11)

şi luând în considerare faptul că:

1rn-1rni

ri

i 2222

, (V.12)

se poate compara vx cu 21Vr. Dacă vx 21Vr, rezultă a1 = 1, în caz contrar a1 = 0, deoarece

. Astfel se stabileşte valoarea bitului a1, deci a bitului MSB. Pentru stabilirea valorii

bitului a2, se compară vx cu a121Vr + 22Vr = Vr(a12

1 + 22). Dacă vx a121Vr + 22Vr sau

vx a121Vr 22Vr, rezultă a2 = 1, în caz contrar a2 = 0.

1ni

2i

i 22

În general, pentru stabilirea valorii unui bit oarecare i, dacă:

1iq

1q

qq

irx 22 aVv , rezultă ai = 1, în caz contrar ai = 0; (V.13)

sau:

ri

1iq

1q

qqrx 22 VaVv

, rezultă ai = 1, în caz contrar ai = 0, (V.14)

Ca urmare, la fiecare pas oarecare i se testează acceptarea sau neacceptarea termenului 2iVr

în suma , necesară în pasul următor, acceptarea fiind echivalentă cu ai = 1, iar

neacceptarea fiind echivalentă cu ai = 0.

1iq

1q

qqr 2aV

O schemă de ADC cu aproximaţii succesive, cu largă utilizare, este reprezentată în Fig.V.6.

+

-

U

DAC

. . . . . .

vx

vc

CK

D

SAR

S

MSB LSB

IEŞIRE NUMERICĂ PARALEL

STOP CONVERSIE

IEŞIRE NUMERICĂ SERIE

START CONVERSIE

TACT DATA

Fig.V.6. ADC cu aproximaţii succesive.

După cum se observă, ADC este constituit dintr-un comparator şi un DAC, componente

Page 90: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

85

indispensabile schemelor cu reacţie şi dintr-un registru de aproximaţii succesive, SAR, care prezintă 3 intrări: date, tact şi start şi 3 ieşiri: sfârşit conversie, ieşire numerică serie şi ieşire numerică paralel. Funcţia SAR poate fi implementată prin hardware sau poate fi simulată prin software.

După primirea comenzii de START, SAR generează codul 100…0, adică compară vx cu 21Vr,

iar funcţie de rezultatul comparaţiei bitul a1 este păstrat cu valoarea 1 sau 0, prin memorarea în SAR a stării logice a comparatorului. Apoi, SAR generează codul a110…0, pentru stabilirea valorii bitului a2 şi procesul continuă până la bitul LSB, după care se emite semnalul STOP CONVERSIE şi schema intră în regim de standby, în aşteptarea unei noi comenzi de START. Pentru exemplificare, în Fig.V.7 se prezintă organigrama aproximaţiilor succesive, pentru un ADC de trei biţi.

vx

rx V21

v

rx V43

v rx V41

v

rx V87

v rx V85

v rx V83

v rx V81

v

YES

110

NO

100

YES010

NO

000

YES100

NO000

YES

111

NO110

YES

101

NO100

YES011

NO010

YES

001

NO000

100

110 010

111 101 011 001

Fig.V.7. Organigrama comparaţiilor succesive pentru un ADC de 3 biţi.

Există şi o mare varietate de scheme de ADC cu aproximaţii succesive care nu intră în categoria ADC cu reacţie. Aceste funcţionează pe baza (V.14), cu deosebirea că tensiunea necesară pentru comparaţiile succesive nu este generată de un DAC, ci este obţinută cu ajutorul unor reţele rezistive ponderate binar. Deci vor de dezavantajele binecunoscute ale reţelelor ponderate binar. Ca urmare, din categoria ADC cu aproximaţii succesive, cel mai utilizat rămâne ADC cu reacţie şi DAC, conform Fig.V.6. El este utilizat atât în aplicaţiile de viteză, fiind un circuit de viteză relativ mare, cât şi în aplicaţiile care necesită rezoluţie mare (14-16 biţi), având un factor de

merit (viteză rezoluţie) dintre cele mai ridicate.

V.4. ADC CU INTEGRARE

Un criteriu de clasificare al ADC se poate stabili şi funcţie de faptul dacă acestea sunt integratoare sau neintegratoare. Prin aceste denumiri se face referire la includerea unor operaţii de integrare în principiul de funcţionare al ADC. Se poate constata că de fapt acest criteriu de clasificare este cel fundamental, fiindcă separă ADC în două categorii distincte, în ceea ce priveşte viteza şi rejecţia perturbaţiilor.

Page 91: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

86

Astfel, ADC neintegratoare măsoară valoarea instantanee a tensiunii de intrare, fapt pentru care, în general, trebuie precedate de circuite de eşantionare-memorare. Sunt circuite de viteză, dar prezintă dezavantajul că perturbaţiile suprapuse peste semnalul util sunt foarte greu de eliminat sau redus, prin mijloace hardware. Şi aceasta, fiindcă soluţia cea mai simplă de reducere a perturbaţiilor, care este filtrarea, intră în contradicţie cu viteza de conversie. Ca urmare, chiar dacă rămân la dispoziţie tehnicile antiperturbative numerice, eficienţa acestora este proporţională cu nivelul iniţial al perturbaţiilor, deoarece raportul de rejecţie realizat are valoare limitată. Cele mai reprezentative ADC, care intră în categoria de neintegratoare, sunt cele cu funcţionare paralel, cu aproximaţii succesive, cu rampă liniară şi cu rampă în trepte. Spre deosebire de acestea, ADC integratoare convertesc numeric valoarea medie a tensiunii de intrare pe un anumit interval de timp, prin integrarea acestei tensiuni. Din acest fapt decurg două consecinţe şi anume: ca dezavantaj rezultă viteza mică de conversie, iar ca avantaj este filtrarea implicită a tensiunii de intrare, fiindcă orice integrator constituie un filtru trece-jos, care asigură o rejecţie a perturbaţiilor serie. În categoria ADC integratoare intră cele cu conversiune intermediară în frecvenţă (convertoare tensiune-frecvenţă) şi cele cu conversiune intermediară în timp (cu simplă integrare, cu dublă integrare şi cu multiplă integrare), datorită faptului că timpul şi frecvenţa sunt două mărimi analogice care pot fi măsurate numeric direct.

V.4.1. REJECŢIA PERTURBAŢIILOR SERIE LA ADC CU INTEGRARE

Valoarea medie pe o perioadă a unui semnal alternativ fiind zero, raportul de rejecţie serie, RRS depinde de timpul de integrare şi de relaţia acestuia cu perioada semnalului perturbator. Fiindcă semnalul perturbator cel mai puternic şi răspândit provine din reţeaua de curent alternativ, majoritatea ADC cu integrare au timpul de conversie corelat cu perioada acestui semnal, adică este un multiplu de 20 ms, tipic 100 ms. În general, RRS este o funcţie periodică de frecvenţa semnalului perturbator. Pentru a o evalua se consideră un semnal perturbator sinusoidal:

tVv sinmp , (V.15)

suprapus peste semnalul util care în cazul ADC cu integratoare trebuie să fie o tensiune continuă sau lent variabilă. Dacă se notează cu T timpul de integrare al ADC, valoarea medie a semnalului perturbator va avea expresia:

2sin

2sin

2sin

1 mTt

t

mmed

TTt

T

VtV

TV

. (V.16)

Valoarea maximă a tensiunii medii, conform (V.16), este: T

2sin

2 mmaxmed

T

T

VV

, (V.17)

iar valoarea maximă a semnalului perturbator se consideră Vm. Având în vedere relaţia de definiţie, se obţine pentru RRS următoarea expresie:

fT

fT

V

VRRS

sin

log20log20max

med

m , (V.18)

unde f reprezintă perioada semnalului perturbator. Dacă se reprezintă grafic (V.18) în coordonate logaritmice, graficul RRS funcţie de produsul fT arată ca în Fig.V.8.

Page 92: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

87

RR

S [d

B]

010

20

30

40

50

0,1 1 10fT 100

60

Fig.V.8. Reprezentarea grafică a RRS, în coordonate logaritmice.

Din (V.18) şi Fig.V.8 se observă că pentru valori întregi ale produsului fT (T multiplu întreg

de perioade 1/f) sint 0 şi RRS , iar în rest valoarea minimă a RRS creşte proporţional cu fT.

V.4.2. ADC CU DUBLĂ INTEGRARE

Deşi, ADC cu dublă integrare, dublă pantă sau dublă rampă, este un circuit clasic, cunoscut de la alte discipline anterioare, este reluat pe scurt şi în acest context doar pentru a constitui un punct de plecare pentru alte tipuri de ADC cu integrare. Schema de principiu a ADC cu dublă rampă este reprezentată în Fig.V.9. În regim de aşteptare, care intervine între două cicluri de conversie, comutatorul S = 2, tensiunea de la ieşirea

integratorului – U1 are valoarea vi 0, comparatorul – U1 se află în starea logică 0, deci poarta P

este blocată. La apariţia comenzii de start conversie, blocul de comandă – BC încărcă numărătorul – N cu un număr N1 egal sau apropiat cu capacitatea maximă a acestuia şi trece comutatorul în poziţia S = 1. Ca urmare, tensiunea vi devine liniar descrescătoare cu panta dependentă de nivelul vx, iar la trecerea prin zero poarta este validată şi numărătorul începe să se decrementeze.

vi

T1 T2

vx1 vx2

t

(b)

+

-

+

-

+vx

1

Vr

2 SR

D C

U1

U2

P

BC

N

COD OUTf0

vi

(a)

Fig.V.9. Principiul de funcţionare al ADC cu dublă rampă: (a) – schema de principiu; (b) – forme de undă caracteristice.

La trecerea numărătorului prin zero, BC comandă S = 2 şi inversarea sensului de numărare al numărătorului, ca urmare vi îşi schimbă sensul de variaţie devenind liniar crescătoare cu pantă constantă determinată de Vr. Acest proces continuă până când vi trece din nou prin zero spre valori pozitive şi comparatorul blochează poarta. Dacă se notează cu f0 = 1/T0 frecvenţa impulsurilor de tact, se pot scrie relaţiile:

tRC

vtv x

i şi 1x

1i TRC

vTv , (V.19)

Page 93: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

88

valabile pentru prima rampă (S = 1), iar pentru a doua rampă (S = 2):

tRC

VTvtv r

1ii , şi 02i Tv , (V.20)

de unde se obţine relaţia:

1

2rx T

TVv . (V.21)

Dacă pe intervalul T2 numărătorul a înregistrat N2 impulsuri, având în vedere că T1 = N1T0 şi T2 = N2T0, din (V.21) rezultă expresia caracteristicii de transfer a ADC:

x1

2 vV

NN

r

. (V.22)

Prin urmare, N2 este proporţional cu vx, constanta de proporţionalitate fiind N1/Vr. Se observă că în relaţia de mai sus nu intervin mărimile f0, R şi C, fapt ce contribuie substanţial la eliminarea unor surse importante de erori. Din considerente de rejecţie a perturbaţiilor (pct.V.4.1), durata T1 de integrare a tensiunii de intrare se alege egală cu un multiplu al perioadei tensiunii de reţea, 20 ms în cazul reţelelor de 50 Hz. Frecvenţa reţelei ne fiind riguros constantă, acest fapt afectează negativ rejecţia perturbaţiilor. Din considerentul de mai sus, ADC cu dublă rampă mai performante pot avea durata de integrare T1 egală riguros cu un multiplu de perioade ale tensiunii de reţea, prin sincronizarea frecvenţei de tact cu frecvenţa reţelei, cu ajutorul unei bucle cu calare de fază, PLL (Phase-Locked-Loop), conform Fig.V.10. Bucla PLL este constituită dintr-un comparator de fază, COMP.FAZĂ, care comandă VCO (Voltage Controlled Oscialtor), astfel încât semnalele de intrare să fie în fază.

VCO

DIVIZOR1/K

f0 = KfCOMPFAZĂ

fK

f0

f ( 50 Hz)PLL

Fig.V.10. Sincronizarea frecvenţei de tact cu frecvenţa reţelei de c.a.

Plecând de la principiul ADC cu dublă rampă sau dezvoltat o serie de ADC cu integrare şi mai multe pante, care urmăresc atingerea a două obiectiv principale şi anume, fie reducerea timpului de conversie, fie creşterea rezoluţiei de conversie.

V.4.3. ADC CU MAI MULTE PANTE PENTRU CREŞTEREA VITEZEI

Durata T1 a ciclului de conversie al unui ADC cu dublă rampă nu poate fi redusă sub 20 ms, din motive de rejecţie. Ca urmare, singura posibilitate de reducere mai departe a timpului de conversie rămâne reducerea duratei T2. În acest scop, se cunosc ADC cu dublă integrare în trei pante, care pentru aducerea integratorului la zero utilizează iniţial o descărcare cu pantă de zece ori mai mare, pentru reducerea duratei T2, iar în final se revine la o panta normală pentru a se asigura rezoluţia dorită. Pe durata descărcării cu pantă mare, impulsurile de tact sunt introduse într-un rang superior al numărătorului, iar pe durata descărcării cu pantă normală impulsurile de tact sunt introduse la intrarea numărătorului (rangul cel mai puţin semnificativ).

Page 94: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

89

O altă variantă de ADC din această categorie are la bază integrarea cu mai multe pante, conform diagramei temporale reprezentată în Fig.V.11. Prima pantă a integratorului – vi1(t) este identică cu cea de la ADC cu dublă rampă şi anume:

tRC

vtv x

i1 şi 1x

1i1i1 TRC

vVTv , unde 11 NT . (V.23)

Vi1

t

t

vi1

m

vim

vim

s

vis

vis

z

viz

viz

u

viuviu

T1=N1 Tm=Nm Ts=Ns Tz=Nz Tu=Nu. . . . . . . . . . . . . . .

Tm0 tm

=T0=1/f0 – PERIOADA IMPULSURILOR DE TACT

Fig.V.11. Diagrama temporală pentru un ADC cu mai multe pante.

A doua fază a procesului de dublă integrare se realizează după mai multe pante, aranjate în progresie geometrică cu raţia 10:

t

v

t

v

t

v

t

v

d

d1000

d

d100

d

d10

d

d iuizisim ;

sau: (V.24)

uzsm tg1000tg100tg10tg .

Schema ADC cu mai multe pante este asemănătoare cu a celui cu dublă rampă, cu deosebirea că, comutatorul are mai multe poziţii, iar tensiunea de referinţă (sau rezistenţa R) are mai multe valori pozitive şi negative, conform (V.24). Totodată, numărătorul are 4 ranguri zecimale şi posibilitatea ca fiecare rang să fie incrementat sau decrementat individual. Intervalele de timp în care se face numărarea pe cursa inversă sunt Tm pentru rangul miilor, Ts pentru rangul sutelor, Tz pentru rangul zecilor şi Tu pentru rangul unităţilor. Aceste intervale de timp sunt delimitate de primul front crescător al impulsurilor de tact care apare după trecerea prin zero a tensiunii integratorului. După expirarea intervalului de timp T1, urmează panta de măsurare cu ponderea miilor, în care se înregistrează Nm impulsuri în rangul miilor al numărătorului, pe durata de timp Tm. Din cauza caracterului discret al numărării, intervalul Tm va fi mai mare decât Tm0, care reprezintă

măsura exactă a lui vx, cu cel mult o perioadă de tact = T0, altfel spus, cifra miilor este rotunjită

prin adaos. Prin urmare, tensiunea integratorului va depăşi trecerea prin zero cu o anumită cantitate:

t

vqt

t

vv

d

d

d

d immm

imim , deoarece mt ; (V.25)

unde qm reprezintă cuanta de conversie (rezoluţia) corespunzătoare rangului miilor. Pe durata Tm, tensiunea integratorului, vim, variază conform relaţiei:

Page 95: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

90

tt

vT

RC

vt

t

vVtv

d

d

d

d im1

ximi1im , (V.26)

iar la expirarea intervalului de timp Tm, (V.26) devine:

mim

1x

immim d

dT

t

vT

RC

vvTv , (V.27)

din care, având în vedere că T1 = N1 şi Tm = Nm, unde = T0 = 1/f0, rezultă:

imimm

1x d

d v

t

vN

N

RCv . (V.28)

Pentru obţinerea valorii exacte a tensiunii vx trebuie determinată tensiunea reziduală vim,

printr-un nou proces de integrare corespunzător rangului sutelor:

tt

vvtv

d

d isimis . (V.29)

În mod analog, la expirarea Ts = Ns şi rampa vis depăşeşte trecerea prin zero cu:

t

vqT

t

vvvTv

d

d

d

d isss

isimissis , (V.30)

care introdusă în (V.28), conduce la relaţia:

isiss

imm

1x d

d

d

d v

t

vN

t

vN

N

RCv . (V.31)

Tensiunea reziduală vis se determină prin integrare pe rampa zecilor:

tt

vvtv

d

d izisiz . (V.32)

La expirarea duratei Tz = Nz rampa viz depăşeşte trecerea prin zero cu cantitatea:

t

vqT

t

vvvTv

d

d

d

d izzz

izisizziz , (V.33)

care introdusă în (V.31), conduce la relaţia:

izizz

iss

imm

1x d

d

d

d

d

d v

t

vN

t

vN

t

vN

N

RCv . (V.34)

În final, se determină tensiunea viz printr-o integrare pe rampa unităţilor:

tt

vvtv

d

d iuiziu . (V.35)

La expirarea duratei Tu = Nu rampa viu depăşeşte trecerea prin zero cu cantitatea:

t

vqT

t

vvvTv

d

d

d

d iuuu

iuiziuuiu , (V.36)

care introdusă în (V.34), conduce la relaţia:

iuiuu

izz

iss

imm

1x d

d

d

d

d

d

d

d v

t

vN

t

vN

t

vN

t

vN

N

RCv . (V.37)

Având în vedere relaţiile (V.24) dintre pante şi considerând că:

RC

V

t

v riu

d

d , (V.38)

se obţine:

Page 96: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

91

u

iuuzsm

1

rx 1001001000

q

vNNNN

N

Vv . (V.39)

unde:

RC

V

t

vq riu

u d

d. (V.40)

Prin urmare, valoarea tensiunii de intrare – vx poate fi exprimată prin numărul:

uzsmx1

2 101001000 NNNNvV

NN

r

, (V.41)

cu o eroare mai mică decât unitatea. Timpul de conversie al ADC cu mai multe pante poate fi comparat cu cel al unui ADC cu dublă rampă. Astfel, pentru ADC cu dublă rampă durata conversiei este :

2121cdp NNTTT , (V.42)

iar pentru ADC cu mai multe pante durata conversiei are valoarea:

uzsm1uzsm1cmp NNNNNTTTTTT , (V.43)

deci:

21cdp1cmp 36 NNTNT , fiindcă 999936 2 N . (V.44)

Dacă se admite că N2max = N1 = 9999, rezultă că Tcmp(max) N1T0 = (1/2)Tcdp(m. Prin urmare, deşi T2

scade radical, viteza de conversie nu poate creşte mai mult de 2 ori.

V.4.4. ADC CU MAI MULTE PANTE PENTRU CREŞTEREA PRECIZIEI

O soluţie utilizată în scopul creşterii preciziei de conversie constă în introducerea unor cicluri suplimentare de integrare, pentru reducerea influenţei erorilor statice ale circuitelor componente. Schema de principiu a unui astfel de ADC, cunoscut şi sub denumirea de ADC cu integrare în patru pante sau ADC cu dublă integrare şi corecţie în patru pante, este reprezentată în Fig.V.12.

+

-

+

-

S1

S2

S3

Vn

V1

vx

V2

S0

RC

U1 U2

N1 N2 N3 N f0

BLOC DE CONTROL

vi

Fig.V.12. Schema de principiu a unui ADC cu integrare în patru pante.

Un ciclu de conversie cuprinde 6 faze, în afara fazei de aşteptare. Variaţia în timp a tensiunii de la ieşirea integratorului, vi, este reprezentată în Fig.V.13, unde cu Vn s-a notat potenţialul masei de semnal, iar V1 şi V2 reprezintă 2 tensiuni de referinţă pozitive, îndeplinind condiţia V1 = 2V2.

Tensiunea de intrare vx poate fi atât pozitivă cât şi negativă dacă se respectă condiţia vx V2.

Faza de integrare F0 începe cu conectarea comutatorului S2, pentru ca tensiunea integratorului, vi să fie adusă la zero, prin urmare este o fază de iniţializare.

Page 97: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

92

t

F0 F1 F2 F3 F4 F5

T1=N12T 21 T3N 213 TN3NN N

vi vx=vxmax

vx=0

vx=+vxmax

T2=N2=4N1T3=N3

Fig.V.13. Diagrama temporală pentru un ADC cu patru pante.

În faza F1, se conectează S1 şi se pornesc numărătoarele N1 şi N2, care numără impulsuri de

tact cu perioada = 1/f0, până la nişte valori fixe, anume N1 şi N2 = 4N1.

Pe durata T1 = N1, a fazei F1, se integrează Vn, apoi începe faza F2 prin conectarea S2 şi

aducerea tensiunii vi din nou la zero. Durata T1 fiind fixă, rezultă că durata va fi variabilă, în

funcţie de tensiunea totală de decalaj din schemă.

2T

Faza F3 debutează prin conectarea comutatorului S3 şi pornirea numărătorului N3, care va număra până la o valoare fixă N3 şi se încheie la un moment de timp prestabilit şi anume la

expirarea duratei T2 = 4N1. Faza F4 începe cu conectarea S2 şi aducerea tensiunii vi la zero. Momentul vi = 0 constituie terminarea fazei F4 şi startul fazei F5, când se porneşte numărătorul N.

Faza F5 durează până când expiră intervalul de timp T3 = N3, iar conţinutul numărătorului N

reprezintă valoarea numerică a tensiunii vx, care poate fi exprimată în cod binar deplasat sau în cod complementar faţă de 2, conform pct.IV.1.

În Fig.V.13 s-au trasat diagramele pentru vx = +vxmax, 0, –vxmax, intervalul de timp N fiind

figurat numai pentru vx = 0, chiar dacă în acest caz ar trebui să fie zero. Pentru prima dublă integrare – fazele F1 şi F2, se poate scrie relaţia:

2

211

2n TRC

VVN

RC

VV, (V.45)

iar pentru a doua dublă integrare – fazele F3 şi F4, este valabilă relaţia:

21321

21nx 33 TNNN

RC

VVTN

RC

Vv. (V.46)

Rezolvând sistemul de ecuaţii (V.45) şi (V.46), se obţine:

21

n2

21

x113 3

VV

VV

VV

vVNNN . (V.47)

Dacă se consideră o tensiune echivalentă de decalaj – Vd suprapusă peste tensiunea V2, având în vedere faptul că V2 = V1/2 şi Vn = 0, din (V.47) rezultă:

d1

d1

d1

x113 2

4

24

VV

VV

VV

vVNNN

, (V.48)

Page 98: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

93

expresie care poate fi pusă sub următoarea formă mai explicită:

2

1

d1

x113

d11

2d1

x113

41

14

4

41

14

V

VV

vVNN

VVV

VV

vVNNN , (V.49)

unde s-a considerat că 4Vd V1. În cazul ideal când Vd = 0, (V.48)-(V.49) devenind:

1

x113 4

V

vVNNN

, (V.50)

se poate calcula eroarea generată de tensiunea totală de decalaj N = N – N* 0.

Dacă în acelaşi mod ca mai sus, se calculează eroarea generată de tensiunile de decalaj şi în cazul ADC cu dublă rampă, se poate constata că aceasta este cu aproximativ două ordine de mărime mai mare decât în cazul ADC cu patru rampe. O altă metodă de integrare cu mai multe pante, deosebit de eficace în reducerea erorilor statice ale schemei electronice, este implementată printr-un ADC cu dublă integrare multiplu repetată. Şi în acest caz, se utilizează două tensiuni de referinţă, V1 şi V2, dubla integrare fiind

repetată de şase ori pentru fiecare din următoarele perechi de tensiuni: (vx/V2), (V1/V2), (V2/V2),

(V2/V2), (V1/V2) şi în fine, (vx/V2).

V.5. CONVERTOARE TENSIUNE-FRECVENŢĂ

Convertoarele tensiune-frecvenţă, VFC (Voltage to Frequency Converter) intră în categoria ADC cu conversiune intermediară în frecvenţă. VFC pot fi foarte utile acolo unde viteza de conversie nu este critică. Un mare avantaj al VFC îl constituie posibilitatea de prelucrare locală a informaţiei şi transmiterea rezultatului la distanţă, fără sau cu izolare galvanică. Frecvenţa este o mărime mult mai insensibilă la perturbaţii, comparativ cu nivelul. Izolarea galvanică, atunci când este necesară, se poate realiza simplu prin transformator de impulsuri sau prin optocuplor. Există o mare varietate de scheme şi posibilităţi de realizare a VFC. Însă toate acestea funcţionează în general după următoarele două principii de bază:

încărcarea şi descărcarea unui condensator de integrare, între două nivele de referinţă, la un curent proporţional cu tensiunea de măsurat;

compararea tensiunii de măsurat cu valoarea medie a unui şir de impulsuri de arie constantă şi perioadă de repetiţie variabilă; aceste VFC au la bază metodele de compensare sau echilibrare, deci reacţie negativă, fiind cunoscute şi sub denumire de VFC cu acumulare sau echilibrare de sarcină.

Având în vedere aspectul menţionat mai sus, în cele ce urmează va fi ilustrat doar principiul de funcţionare al celor două mari categorii constructive de VFC.

V.5.1. VFC CU CONDENSATOR DE INTEGRARE

Principiul de funcţionare al unui VFC cu condensator de integrare este ilustrat în Fig.V.14. Pe durata de timp T1, în care S1 = 2 şi S2 = 1, variaţia tensiunii de ieşire a integratorului, vi, este descrisă de relaţia:

tRC

vVtv x

ri . (V.51)

breniucliviu
Highlight
Page 99: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

94

vi+Vr

Vrt

T1 T2

t

vc

(b)

+

-

+

-

vi

vc

R CU1 U2

+Vr

Vr

+vx

vx S1

S2

1

21

2(a)

Fig.V.14. Principiul de funcţionare al VFC cu condensator de integrare: (a) – schema de principiu; (b) – forme de undă.

La momentul de timp T1 (V.51) conduce la concluzia:

r1i VTv , deci x

r1 2

v

VRCT . (V.52)

Pe durata de timp T2, în care S1 = 1 şi S2 = 2, tensiunea vi este descrisă de relaţia:

tRC

vVtv x

ri . (V.53)

La momentul de timp T2 (V.53) conduce la concluzia:

r2i VTv , deci x

r2 2

v

VRCT . (V.54)

Pe baza (V.52) şi (V.54) se poate determina expresia frecvenţei de ieşire:

x

r21x 4

v

VRCTTT , deci

r

x

xx 4

1

RCV

v

Tf . (V.55)

V.5.2. VFC CU ECHILIBRARE DE SARCINĂ

Principiul de funcţionare al unui VFC cu echilibrare de sarcină este ilustrat în Fig.V.15. Se observă că blocurile de bază, integratorul şi comparatorul, apar şi aici.

(a)

+

-+

-

vi

vc

GIR

CU1 U2

vxR1

R2

Vr

Vp

(b)

vi

Vr T2

t

t

Vp

vxvr

T1

Fig.V.15. Principiul de funcţionare al VFC cu echilibrare de sarcină: (a) – schema de principiu; (b) – forme de undă.

La aplicarea tensiunii de intrare vx, presupusă pozitivă, integratorul U1 generează o tensiune liniar descrescătoare, care este comparată de comparatorul U2 cu tensiune de prag negativă, Vp. În momentul atingerii pragului de către tensiunea vi, comparatorul comandă generatorul impulsurilor de referinţă GIR, care generează un impuls de tensiune negativ cu amplitudinea Vr şi durata T1. Acest impuls produce asupra integratorului o acţiune de sens contrar celei a tensiunii vx şi panta tensiunii vi devine liniar crescătoare. După expirarea duratei T1 asupra integratorului acţionează din nou numai tensiunea vx şi ciclul se repetă. Deci durata T2 de revenire la nivelul tensiunii de prag este dependentă de tensiunea vx. Prin urmare, integratorul sumează curenţii prin R1 şi R2, dintre care

Page 100: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

95

vx/R1 circulă permanent iar Vr/R2 circulă numai pe durata T1. Acest fapt poate fi exprimat astfel:

12

r21

1

x TCR

VTT

CR

v . (V.56)

Această relaţie descrie echilibrul de sarcină furnizată integratorului, din care rezultă:

1r

2

121x T

v

V

R

RTTT

x

, deci r1

x

1

2

xx

1

VT

v

R

R

Tf . (V.57)

Se poate observa că tensiunea Vp nu intervine în expresia frecvenţei de ieşire. Elementul esenţial al acestui tip de VFC este generatorul impulsurilor de referinţă, care

trebuie să genereze impulsuri cu aria const.dr tv O soluţie simplă în acest sens, utilizată cu

precădere în circuitele integrate, o constituie încărcarea unui condensator la tensiunea de referinţă şi descărcarea acestuia la intrarea integratorului. O altă soluţie, mai complicată dar şi mai precisă, constă în utilizarea unui monostabil de precizie pentru obţinerea duratei T1, care comandă mai departe un generator de tensiune sau de curent cu amplitudinea constantă şi cunoscută cu precizie.

O soluţie ingenioasă care asigură reducerea radicală a erorilor asupra duratei T1, constă în utilizarea unei frecvenţe etalon care sincronizează VFC, conform Fig.V.16. În acest caz, generatorul impulsurilor de referinţă este constituit dintr-un bistabil tip D, U3, sincronizat cu frecvenţa f0 şi un DAC, U4, utilizat ca generator comandat de curent. Impulsul de curent necesar pentru echilibrarea sarcinii pe condensatorul C are durata T0 = 1/f0 şi este generat sincron cu primul front crescător al impulsurilor de tact care apare după ce tensiunea integratorului a atins valoarea zero. Această soluţie este extrem de precisă, eliminând complet erorile asupra duratei T1, fiindcă f0 poate fi obţinută cu precizie net mai mare decât durata de temporizare a unui monostabil, cum ar fi de exemplu derivarea din frecvenţa de clock a unui sistem cu microprocesor.

+

-+

-

vi

vc

CU1 U2

vxR f0 CK

DQ

+Vref

B1

U3

I0

vq(fx)

U4

LM 301 LM 311 HC 7474

DAC 08(a)

(b)

f0

T2T1

t

t

t

t

vi

vc

vq

0

Fig.V.16. Principiul de funcţionare al VFC cu echilibrare de sarcină sincronizat:

breniucliviu
Highlight
Page 101: AMPLIFICATOARE ŞI CONVERTOARE DE MĂSURARE

96

Funcţia de transfer pentru VFC sincronizat are aceeaşi formă ca şi cea pentru VFC nesincronizat, conform (V.57), cu deosebirea că în acest caz T1 = T0.

Pentru a fi posibilă funcţionarea în jurul valorii zero sau pentru tensiuni de intrare negative, se utilizează o deplasare de nivel care poate fi realizată cu ajutorul unui generator de tensiune sau de curent adecvat.

Convertoarele VFC funcţionând pe acest principiu, pot atinge performanţe ridicate, cum ar fi: rezoluţie de 16-18 biţi, echivalentă cu 5 1/2 cifre zecimale, adică 1/200.000, liniaritate de 0,0025%

şi stabilitate cu temperatura de 5 ppm/C.